Перейти к содержанию
    

Lattice ICE40 + icecube + VHDL + "configure pll"

Имеется среда разработки Lattice iCEcube2.

Создаем пустой проект, нажимаем кнопочку "Tool->Configure->Configure Pll module", получаем два файла с уже заданной конфигурацией.

Ну ладно, про то, что у них в темплейтах лишний ; после port map я молчу, это исправил ручками.

Но почему я пытаюсь скомпилировать эти файлы и получаю

 

@E: CD213 :"test_pll.vhd":17:14:17:26|Undefined identifier

1 error parsing file test_pll.vhd

 

Среда не знает про библиотечный элемент SB_PLL40_CORE

Наверняка отсутствует объявление этой библиотеки, и нигде в доке не указано, какой "library/use" мне надо использовать.

Подскажите хотя бы, в каком направлении искать?

test_pll.vhd

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У xilinx примитивы определены в unisim...

Попробуйте запустить поиск по *.vhd файлам в папке этой самой iCEcube :wacko:

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Получил ответ от Латисовского саппорта. Вкратце: "Да, мы знаем про этот баг, пользуйтесь Верилогом, эта часть работает".

Присоединил к проекту верилоговский файл вместо вхдлного - все заработало.

 

PS: Судя по качеству софта и по тому, что отвечают в наше рабочее время - разработчики сидят где-нибудь в Индии 8-)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...