Перейти к содержанию
    

Бит переполнения при суммировании

Открываем xst.pdf и читаем

открываем

This manual describes Xilinx® Synthesis Technology (XST) support for HDL languages,

вот и раз а это только для XST, странно почему документ под XST должен на все остальные синтезаторы распространяться

 

Далее в разделе Arithmetic Operations имеем....

тут возражений нет

 

 

И Вы хотите меня уверить, что пакет, возможности которого по определению должны быть выше по сравнению с предложенным ISE и Quartus, это не поддерживает?

 

Заметьте я никого ни в чем не уверяю, а высказываю свою точку зрения.

в арифметичесих операциях связанных со знаком/без знака, я предпочитаю явное указание что и куда пихать, мне это помогает застраховаться от синтезатора и не писать комент, который пояснет что именно я делаю. (срази видно знаковое или беззнаковое сложение и тип результата).

Если кому то это кажеться не нужным, то я никого не заставляю этого не делать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Открываем xst.pdf и читаем

 

Не надо открывать xst.pdf. Надо открывать IEEE. Там то-же самое есть.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Хм. Еще раз перечитал ветку, где мы все базарим о бите переноса, и вопрос - про бит переполнения :)... Вообще-то немного две разницы ;)

 

assign ovf = (!(l[7]^r[7]^sub)) & (l[7]^out[7]);

 

l и r это входы сумматора-вычитателя, out - выход, sub в единице если вычитание. Все восьмибитное.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Хм. Еще раз перечитал ветку, где мы все базарим о бите переноса, и вопрос - про бит переполнения :)... Вообще-то немного две разницы ;)

 

assign ovf = (!(l[7]^r[7]^sub)) & (l[7]^out[7]);

 

l и r это входы сумматора-вычитателя, out - выход, sub в единице если вычитание. Все восьмибитное.

ИМХО для знаковых чисел да, а для беззнаквых монописуально

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ИМХО для знаковых чисел да, а для беззнаквых монописуально

Да, естественно. Для беззнаковых бит арифметического переполнения в АЛУ не имеет смысла. Только бит переноса.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А если написать полный одноразрядный сумматор,а потом объединить при помощи механизма компонентов???

-- Полный одноразрядный сумматор

S<=a xor b xor c;

P<=( a and b ) or ( a and c ) or ( b and c ); -- Нужный перенос :)

Изменено пользователем ИнЖеНиГеР

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...