Перейти к содержанию
    

ISE, Verilog, ошибка 1018

Имеем плату Spartra3-AN, Xilinx ISE 14.2 и необходимость работать с ацп на плате.

простой тестовый пример

 

module test(

clk,

led

);

input wire clk;

output reg led;

 

integer count = 0;

 

always @(posedge clk)

begin

count = count + 1;

if (count == 25000000)

begin

led = 1;

end

if (count ==26000000)

begin

led = 0;

count = 0;

end

end

endmodule

 

мигание светодиодом. всё работает, за clk взят внутренний осцилятор на 50Мгц

 

но если изменить источник clk на NET "clk" LOC = "AA20";

где АА20 тактовый сигнал для предусилителя и ацп как всё перестает работать и нельзя обнулить led

только выставить в 1 можно. Спрашивайте добавлю информации. Заранее спасибо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 и 3 видел, 2 нет, это где если не секрет?

Вы хотите сказать что SPI_SCK(AA20) это вход и цлк туда нужно подавать с осцилятора?

Но ведь вот такой код зажигает светодиод, когда используешь NET "clk" LOC = "AA20"; ведь как то досчитывает значит

 

always @(posedge clk)

begin

count = count + 1;

if (count == 25000000)

begin

led = 1;

end

end

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вы хотите сказать что SPI_SCK(AA20) это вход и цлк туда нужно подавать с осцилятора?

Но ведь вот такой код зажигает светодиод, когда используешь NET "clk" LOC = "AA20"; ведь как то досчитывает значит

ок.

post-74189-1373348656_thumb.jpg

UG334, стр. 75

 

Можете разбираться почему горит.

Можете заняться делом.

Если выберите второе — для начала рекомендую посвятить хотя бы недельку изучению верилога. Примеров в инете полно.

Затем почитать что такое SPI. И погуглить примеры реализации на FPGA.

 

1 и 3 видел, 2 нет, это где если не секрет?

Из даташита на LTC1407A-1. Искать на диске, прилагаемом к киту. В крайнем случае — здесь.

 

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Демагогия какая-то получается) UG334 читал, и на приведенной таблице 9.3 написано в первой строке SPI_SCK AA20 Clock. Отсюда собственно вопрос №1 требующий ответа да/нет.

SPI_SCK AA20 - там есть непосредственно сигнал с определенной частотой?

Изменено пользователем Balabes

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Демагогия какая-то получается) UG334 читал, и на приведенной таблице 9.3 написано в первой строке SPI_SCK AA20 Clock. Отсюда собственно вопрос №1 требующий ответа да/нет.

SPI_SCK AA20 - там есть непосредственно сигнал с определенной частотой?

Придуриваетесь что-ли? :(

post-74189-1373351011_thumb.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

придуриваюсь - нет, туплю - вероятно)

вы опять же не ответили да/нет, что ж такое.

Я это вижу. Если предположим что он там есть, почему с одним источником тактования работает, с другим нет?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

придуриваюсь - нет, туплю - вероятно)

вы опять же не ответили да/нет, что ж такое.

Я это вижу. Если предположим что он там есть, почему с одним источником тактования работает, с другим нет?

Вам же таблицу, привели в посту выше. Там черным по белому написано что этот сигнал ВЫХОДНОЙ из FPGA,

т.е. он вней формируется и выдается но выход. А что бы его сформировать нужен какой-то ВХОДНОЙ клок.

Что и было в исходном проекте.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

придуриваюсь - нет, туплю - вероятно)

вы опять же не ответили да/нет, что ж такое.

Да ёптыть! :( Ну давайте ёще раз, по шагам:

  1. Смотрим источники тактового сигнала для ПЛИС. Их три штуки:

    post-74189-1373364564_thumb.jpg

  2. Смотрим схему подключения АЦП (ADC) к ПЛИС — СТРЕЛОЧКАМИ ПОКАЗАНО НАПРАВЛЕНИЕ ПЕРЕДАЧИ СИГНАЛА ОТ ИСТОЧНИКА (ВЫХОД) К ПРИЕМНИКУ (ВХОД).

    post-74189-1373364569_thumb.jpg

  3. Если на предыдущем шаге понятно не стало — смотрим табличку.

    Конкретно — описание цепи SPI_SCK.

    Направление: от ПЛИС к АЦП.

    Назначение - тактовый сигнал. ДЛЯ АЦП!!!. Т.е. это тактовый сигнал, который ПЛИС ДОЛЖНА ГЕНЕРИТЬ В СТОРОНУ МИКРОСХЕМЫ АЦП.

    post-74189-1373364575_thumb.jpg

  4. Если на предыдущем шаге все еще не стало понятно — лезем в даташит на микросхему АЦП (LTC1407A-1 - такая м/с установлена на ките) и читаем описание вывода SCK (SPI_SCK):

    post-74189-1373364580_thumb.jpg

Итого:

1) Тактовый сигнал SPI_SCK для АЦП подается от ПЛИС (формируется внутри ПЛИС и выдается наружу).

2) ПЛИС не может использовать эту цепь в качестве источника тактового сигнала.

 

Мигающий светодиод — это о чем- то говорит...

Горящий светодиод — не говорит вообще ни о чем...

 

 

Я это вижу. Если предположим что он там есть, почему с одним источником тактования работает, с другим нет?

Хотите продолжать фантазировать о наличии там клока из воздуха — да ради бога. Значит это диагноз :cranky: Умываю руки...

Изменено пользователем winipuh

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Может, будет понятнее и дело сдвинется, если мы поинтересуемся - а что за ошибка-то 1018 (см. заголовок)? И где она рапортуется?

 

Сдается мне, что попытка подсунуть выход FPGA в качестве входа для CLK тут замешана :) Не тут ли собака порылась, уважаемый?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...