Перейти к содержанию
    

Спасибо Ant_m. Просто я такими наворотами не пользуюсь, оно реально не надо. Быстрее всех этих условий/запросов и остальной фигни кликнуть в два-три окошка. Но я видимо неправильно работаю... да и не только я. Ладно, методы работы оставим на усмотрение каждого.

vitan, если Вы не будете пробовать, то сколько бы мы тут чего не написали, Вы будете представлять только как и что выглядит в вашем маршруте, с DxD + БД. Все краткие обсуждения при этом бессмысленны:

- Какого цвета у тебя машина?

- Вот ты видел цвет заката?

- Да.

- Вот такая же, только зеленая.

 

Примерно так.

 

А делать Вам подробные уроки/описания/скрины/видео - ну мы все тут не саппорт, правда? И курсы с тренингами неслабых денег стоят. А мы все работаем, и Вы, и я, и Ant_m и остальные. Поэтому конкретный вопрос - конкретный ответ. Если ответ длинный, то могу и проигнорить, извините.

 

Просто из всего Вами написанного видна одна направленность: у Вас есть собственное представление о процессе проектирования(и не только, как минимум по организации труда предприятия), Вас не интересуют возможности конкретного софта по организации работы в нем, как это задумывалось его создателями, а интересует лишь возможность "заточить" этот софт под ваш процесс.

Вряд ли кто-то Вам подробно в этом поможет, разве что офф. саппорт, при наличии у Вас соответствующих лицензий и оплаченном maintenance.

Другое решение - пробовать самому "допилить" и для себя же решить, получится и стоит ли использовать или нет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Просто из всего Вами написанного видна одна направленность: у Вас есть собственное представление о процессе проектирования(и не только, как минимум по организации труда предприятия), Вас не интересуют возможности конкретного софта по организации работы в нем, как это задумывалось его создателями, а интересует лишь возможность "заточить" этот софт под ваш процесс.

В основном - да, но не совсем. Я способен воспринимать новое, поверьте, особенно, когда оно полезно. :)

Я успел поработать с несколькими маршрутами, и знаю, что лучше с выбором не торопиться. Поэтому и задаю вопросы. Странно, что некоторые обижаются, когда я говорю, что не хочу пробовать, а хочу только спросить. Ведь я никого не заставляю отвечать, и уж точно не расстроюсь, когда ответят.

 

Меня интересуют возможности конкретного софта по организации работы в нем. Я просто начал со своих вопросов (надо же с чего-то начинать).

Готов выслушать обо всех этих особенностях и полезных фишках в любой форме, пожалуйста, говорите, что кому в этому редакторе понравилось!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

... говорите, что кому в этому редакторе понравилось!

Мое мнение такое - редактор очень старый. Многие вещи выполняются не интуитивно, т.е нужно знать как делать (а читать документы никто не любит :biggrin: ). Как пример: работа с выделением множества символов рамочкой - навыки вбитые нам windows интерфейсом, не срабатывают :smile3046:

Поиск компонентов и цепей - это что то из области проктологии... И еще много чего подобного.

Русские шрифты, и всякие ухищрения и подгонки под ГОСТ сделать или невозможно или очень трудоемко.

 

Мне, когда переходил с pcad, было очень непросто... Но выбора не было - "у нас используется Concept HDL"(с).

Возможно редакторы Orcad или DxD намного лучше, но с ними мне приходилось общаться очень фрагментарно и давно...

Но, не стоит думать что в этом редакторе только одни минусы. Самое главное - схему, в нем можно сделать. Причем очень сложную и "замороченную". Вообщем нужно пробовать (но перед этим запастись церукалом ;)).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В последней версии есть улучшения, делающие Концепт более "современным". В ней уже нет Expand-a(схема постоянно полностью вчитана), нет Occurence edit - на любом уровне иерархии видны конкретные атрибуты компонента, изменен кстати и поиск, теперь он более похож на обычный.

СМ теперь доступен начиная с самой базовой версии лицензии, но это я так понимаю мало кому интересно:)

Так же с базовой лицензии доступен Part Manager - для проектов открываемых после долгого "простоя" и обновленныхх библиотек весьма полезная штука.

По поводу языков - я так и не смог увидеть русские надписи в Концепте, никак. Может какой-нибудь спец. шрифт и решит этот вопрос, но стандартными средствами и шрифтами не получалось.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да, небогато...

Видимо, придется отложить более детальное знакомство...

Разве что почитать хелп на тему HDL, это мне представляется чуть ли не самой важной фишкой (я давно хочу писать схемы, а не рисовать).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пишите сразу нетлисты, они у Allegro текстовые :biggrin:

Ну дык о них и речь! Жаль, что аллегро напрямую VHDL не понимает. :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

vitan, забыл сразу спросить - а с чего вдруг интерес к другому маршруту, если Вы используете DxD - Allegro и он Вас устраивает?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

vitan, забыл сразу спросить - а с чего вдруг интерес к другому маршруту, если Вы используете DxD - Allegro и он Вас устраивает?

Начинает переставать устраивать. Я до сих пор в старом менторе (очень уже старом). Пока со скрипом держится.

Новый ментор хорош только для экспедишена, с нетлистом там все ббольше и больше проблем, они это направление совсем больше не развивают.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Появилось немного времени, продолжим.

 

Давно хочу подступиться к реализации мечты идиота - отказаться от схематика и писать нетлист на HDL.

Почитал немного справку. Пишут, что схема при нажатии на сейв или при упаковке автоматически транслируется в нетлист на верилоге, который дальше используется упаковщиком. Вопрос: при обратной аннотации упаковщик генерирует новый верилог? Или он вносит изменения в схему, и мне надо будет снова нажимать на сейв или паковать схему (в данном случае несуществующую)?

 

Я не хочу пока осваивать схемотехническую часть концепта, поэтому хочу попросить тех, у кого есть пара минут. Можно сделать простейшую схему с RC-цепочкой и выложить сюда сгенеренный верилог (ну или весь проект)? Чтобы оценить, так сказать, перспективу. Только проект должен быть упаковываемым, т.е. компоненты должны иметь весь набор атрибутов, необходимых для передачи в плату. Ну и на цепи можно пару констрейнов повесить (любых, в общем-то). Скажу большое спасибо, сэкономите мне кучу времени. :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Нет у проекта с маршрутом схематик-РСВ никакого верилог-файла.

Погодите, а о чем тогда написано в хелпе? См. радел Netlisting for Packaging the Design.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У меня во всех в проектах есть, называется verilog.v. Лежит в папке sch_1.

Завтра сделаю "схему" из резистора и конденсатора, а сейчас бежать пора, рабочий день закончился и труба зовет. :1111493779:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У меня во всех в проектах есть, называется verilog.v. Лежит в папке sch_1.

Завтра сделаю "схему" из резистора и конденсатора, а сейчас бежать пора, рабочий день закончился и труба зовет. :1111493779:

Отлично! Вот спасибо, будем ждать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В старых версиях кажется был такой файл. В 16.5 - нет...

 

Погодите, а о чем тогда написано в хелпе? См. радел Netlisting for Packaging the Design.

 

Есть ощущение, что написано о том, как это выглядело раньше.

Сейчас выглядит так:

 

post-4480-1346855476_thumb.png

 

Налицо разница в описании в хэлпе и в том, что видно в софте...

 

А это содержимое каталога со схемой:

 

post-4480-1346855733_thumb.png

 

Никаких верилог файлов там уже нет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...