Перейти к содержанию
    

backend

Участник
  • Постов

    194
  • Зарегистрирован

  • Посещение

Сообщения, опубликованные backend


  1. я не могу понять почему у меня при разных попытках сборки при малых исправлениях кода (или даже если вовсе код не править) все ведет себя абсолютно непредсказуемо?

    Так и должно быть. Работайте с привязками, читайте документацию.

     

    Может как-то надо более правильно относиться к тактовым? (Тактовую 2.048 МГц для Е1 получаю простым счетчиком из 32.768 МГц).

    К тактовым всегда нужно относиться правильно. Про кустарные делители частоты в промышленных решениях забудьте сразу, для этого есть DCM и PLL.

     

    В общем, хотелось бы получить какие либо рекомендации по разработке устройств на ПЛИС чтобы не получать непредсказуемого поведения)

    Про это можно целый курс лекций прочитать.

     

    For more information, see Period Analysis in the Timing Closure User Guide (UG612).

    Вам, как минимум, рекомендуют изучить UG612 "Timing Constraints User Guide".

    http://www.xilinx.com/support/documentatio...inx11/ug612.pdf

     

    Minimum period is 17.606ns.

    Не может он более 56.8 МГц выдать при таком проекте, изучайте отчеты, смотрите пути.

     

    Есть ли какие-нибудь способы исправить данную ситуацию?

    Есть. Плотно работать с фирменной документацией, там ответы почти на все вопросы.

     

  2. Коллеги,кто пользуется Q15.1 скажите - создание инстансов в сигнал-тапе отменили? Создаю три инстанса, они создаются но после компиляции в навигаторе упорно вижу только один. При попытке захвата данных сообщается что нужного инстанса нет. А как у вас?

    Предупреждений компилятора не было? С распределением ресурсов под тесты никаких конфликтов?

     

  3. Сейчас сижу-дымлюсь над сабжевым проектом, не могу понять, как разрулить по исполнениям. Вроде случай не экстремальный, но некоторые исполнения очень сильно топорщатся из КД.

    База ГОСТ-ов помогает разрулить практически все.

     

     

  4. получается я могу подавать свои 108 мгц на контроллер epcs, с контроллера epcs на соотвествующий вывод и с него будет идти уже не 108 а 40- ?

    Как уже сказал vadimuzz, тайминги там будут такие, которые нужны для EPCS.

    Если очень интересно, то смотрите доки на сами EPCS-ы и на FPGA.

     

    Page 14.

    https://www.altera.com/content/dam/altera-w...3_ciii51016.pdf

     

    "The DCLK generated by the Cyclone III device family controls the entire configuration cycle and provides timing for the serial interface. Cyclone III device family uses a 40-MHz internal oscillator to generate DCLK. There are some variations in the internal oscillator frequency because of the process, voltage, and temperature conditions in Cyclone III device family. The internal oscillator is designed to ensure that its maximum frequency is guaranteed to meet the EPCS device specifications"

     

    Попробуйте начать с самого простого проекта, а потом постепенно его усложнять.

  5. У меня возникла логичная мысль а правильно ли я доступ получаю к EPCS из NIOS. У меня частота NIOS 108МГц в EPCS работает до 40, кварц на 27. В итоге как это всё скомпановать не очень сразу ясно. куда надо низкую частоту подавать. Можно контроллер от 27 МГц запистать и поставить мост между контроллером и процессором который работает от 108?

    Бррр! Все манипуляции с частотами рекомендую выполнять через PLL, если это еще не сделано. Там все придумано для таких задач.

     

  6. Вики в этом вопросе не вызывает доверие.

    Тогда попробуйте обратиться к публикации 1939 года, с которой все началось, на которую ссылается англоязычная Вики.

    http://www.tubezone.net/pdf/diagrams/regulator.pdf

     

  7. При этом поиск программы в EPCS всегда выводит адрес 0. и сама загрузка не происходит.

    Посмотрите на свою карту памяти.

    Кто каким советом может помочь? пользовался советами naliwator и http://juvf.narod.ru

    Скрины бы с настройками прикрепили, как в туториале.

     

     

  8. стр. 7

    http://www.lauterbach.com/pdf/error.pdf

     

    "error: data section near offset <offset>.

    The contents of the file specified with the Data.LOAD command do not match the selected data format of the load command, the debugger detected the wrong file format (if Data.LOAD.auto was used), or the

    contents are corrupted, abnormal or unexpected. Please check

    - that the file has not been corrupted while transferring e.g. to another system,

    - the specified file is in the intended format (compiler and linker configuration),

    - the right data format is selected, e.g. use Data.LOAD.Elf,

    - the right options are used regarding the compiler, e.g. Data.LOAD.Elf rom.elf /METROWERKS,

    - if a new compiler version could fix this error,

    - if the used compiler is supported by the currently installed debugger software. If the compiler is newer than the debugger software, please upgrade the debugger software and try again."

     

  9. на практике всё равно придётся сталкиваться с верилогом, констрейнами и не работающем в железе проекте, который симулируется на ура.

    неработающие в железе проекты c DSP-шным векторным управлением и PCIe на Virtex-6 после симуляции в Симулинке не видел. Что я делаю не так?

    На практике не сталкивался с проектами, которые работают в симуляторе и не работают в железе.

    В подавляющее большинстве случаев баги вылавливается на стадии моделирования с учетом реальных задержек, нареканий к софту не имею.

    Исключение составляют случаи, когда:

    1. Моделироване невозможно по объективным причинам.

    2. Создание удовлетворительного тестбенча является слишком затратным, долгим, сложным.

    3. При заливке в железо выявились особенности работы аналоговой части, которые не были (или не могли быть) учтены при симуляции.

  10. Мы всегда работали с исходными текстами в кодировке CP1251.

    Но тут появилась мысль попробовать поработать под Linux.

    Там ISE, PlanAhead и Vivado прекрасно работают с UTF-8;

    Но как выяснилось, что версии ISE и PlanAhead под Windows НЕ могут работать с UTF-8;

    Вы хотите окончательно переехать на Linux или планируете использовать Linux и Windows одновременно?

     

    Причём ошибка возникает при синтезе, если например есть текст на русском в строке report

    Что конкретно пишет? Какая версия софта?

     

    Может быть кто знает - можно ли подружить ISE с кодировкой UTF-8 ?

    Написать скрипт перекодировки и натравить его на исходники - вариант.

    Сам я с такими траблами не сталкивался, работаю в основном под Linux.

    В исходниках кириллицу не допускаю, комменты только на английском.

     

  11. У кого софт проще для освоения с нуля altera или Xilinx?

    Везде свои плюсы и минусы. Глобальной разницы нет, дело вкуса.

     

    Какие типичные применения фпгашек?

    Если очень укрупненно, то SoC и DSP.

     

    какую недорогую демоборду взять?

    Для первых шагов взять ту, которую купить проще, под которую примеров побольше и программатор встроенный.

     

  12. Нужно сделать модуль, который имеет на входе беззнаковый std_logic_vector(47 downto 0), на выходе - номер старшего разряда.

    Номер старшего разряда потом для какой цели используется?

×
×
  • Создать...