Ребят, подскажите по поводу грамотного описания асинхронного резета для ПЛИС.
Интересует случай, когда не требуется сбрасывать ВСЕ регистры
Во всех примерах вижу стандартную конструкцию:
process (clk,rst) begin
if (rst = '1') then
s1 <= '0';
elsif rising_edge(clk) then
s1 <= x1;
s2 <= x2;
end if;
end process;
А недавно услышала, что правильней использовать конструкцию вида:
process (clk, rst) begin
if rising_edge(clk) then
s1 <= x1;
s2 <= x2;
end if;
if (rst = '1') then
s1 <= '0';
end if;
end process;
В этом случае создается меньше связей. Насколько это оправданно?