Здравствуйте, я новичек, прошу вашей помощи.
На Spartan6 создал ядро MicroBlaze и контроллер памяти BRAM, один порт которого смотрит в MicroBlaze, второй доступен в ПЛИС.
Из софт ядра я пишу данные в BRAM, а в ПЛИС соответственно мне представлен интерфейс для работы с памятью:
component microblaze is
port (
fpga_0_clk_1_sys_clk_pin : in std_logic;
fpga_0_rst_1_sys_rst_pin : in std_logic;
custom_bram_block_0_BRAM_Rst_B_pin : in std_logic;
custom_bram_block_0_BRAM_Clk_B_pin : in std_logic;
custom_bram_block_0_BRAM_EN_B_pin : in std_logic;
custom_bram_block_0_BRAM_WEN_B_pin : in std_logic_vector(3 downto 0);
custom_bram_block_0_BRAM_Addr_B_pin : in std_logic_vector(31 downto 0);
custom_bram_block_0_BRAM_Din_B_pin : out std_logic_vector(31 downto 0);
custom_bram_block_0_BRAM_Dout_B_pin : in std_logic_vector(31 downto 0)
);
end component;
Здесь шина адреса BRAM_Addr_B_pin является вектором и вопрос в том, как мне в этот вектор записывать различные адреса, ведь напрямую подставлять нельзя, возникает ошибка "Signal ... is connected to following multiple drivers"