Перейти к содержанию
    

Кнкн

Свой
  • Постов

    695
  • Зарегистрирован

  • Посещение

  • Победитель дней

    2

Сообщения, опубликованные Кнкн


  1. Пусть, для конкретности, трансивер  Arria10gx (или Cyclone10gx) нужно подключить к модулю SFP+.

    В каком порядке следует подавать питание на плис и на модуль? Когда конфигурировать плис?

    В an692 читаем

    "Intel Cyclone 10 GX, Intel Arria 10, and Intel Stratix 10 L-tile and H-tile device
    transceiver pins do not support ‘Hot-Socketing.’
    Fully configure the transceiver block before driving or having any activity on the Intel
    Cyclone 10 GX and Intel Arria 10 device transceiver pins."

    На форуме Intel было обсуждение аналогичного вопроса (https://community.intel.com/t5/Programmable-Devices/Arria-10-FPGA-Unpowered-State/m-p/1245751)

    Консультант ответил :

    "The Arria® 10 device dedicated transceiver pins are not subject to the same hot-socketing limitations of the general purpose I/O pins.

    It is OK to drive the dedicated transceiver pins during power-up and power-down sequencing of Arria 10 devices."

    На вопрос : "Is it possible to correlate above statement with statement in an692?"

    он ответил :

    "Yes. The first statement described the process during power-up and power-down

    while the second statement described about configuring for unpowered FPGA."

    Непонятно, что такое "configuring for unpowered FPGA".

    На плате "Cyclone® 10 GX Development Kit Board"

    питание 3.3V на SFP+ подается одновременно с подачей питания ядра плис 0.9V.

    Может быть, знающие люди разЪяснят ситуацию? Спасибо.  

     

  2. On 3/24/2023 at 1:20 PM, Suicide said:

     

    Я вот еще немного не понял, как распространяется сигнал SYSREF к приёмнику и передатчику, если они располагаются на разных устройствах. 

    Лучше всего от внешнего генератора с управляемой задержкой, например можно посмотреть lmk04832 

  3. On ‎10‎/‎4‎/‎2021 at 8:54 AM, Turgenev said:

    Ищу софт для распиливания пополам S-параметров 50 омной дорожки. Платный софт знаю где достать. Есть ли вариант сделать это бесплатно? Из моих вариантов:

    -1 раз написать в Ataitec. У них есть что-то типа пробной услуги на разок;

    - самому написать в матлабе программу, которая перегонит S-параметры во временную область, потом разделит отсчеты во временной области пополам и перегонит обратно получившиеся две половины отсчетов в S-параметры. Вряд ли все так просто, худший вариант.

     

    Может быть это поможет

    https://github.com/TeledyneLeCroy/SignalIntegrity

    есть книга автора, доступная в эл. виде

  4. 1 hour ago, nice_vladi said:

    Собственно, вопрос: как, с точки зрения UVM, правильно изменять значения в uvm_sequence во время runtime?

    Примерно, так :

    class x extends uvm_sequence #(y_seq_item);

       `uvm_object_utils(x)
       function new (string name="x");
         super.new(name);
       endfunction : new

       y_seq_item req;

       task body;

         req = y_seq_item::type_id::create("req");

        forever begin

           start_item(req);

           // присваиваем значения полям

           finish_item(req);

        end

      endtask : body

    endclass : x

  5. 12 minutes ago, alver said:

    Если не секрет, где сейчас используются логические анализаторы высокой производительности? Лет 10 не пользуюсь, отпала необходимость в связи с распространением последовательных протоколов.

    Задача специфическая: необходимо исследовать немолодое устройство с рентгеновским детектором.

×
×
  • Создать...