Перейти к содержанию
    

sallador

Свой
  • Постов

    51
  • Зарегистрирован

  • Посещение

Весь контент sallador


  1. Как-то пытался сделать подобные вещи, но нужно было использовать ACOUT-> ACIN для DSP48Е1. Причем: 1) Без использования pre-adder, 2) С использованием pre-adder (A+D). Причем на порт D заходила константа. Никак, хотя XST все прекрасно понимает. В итоге простые вещи, связанные с DSP48 стараюсь делать так: unisim primitive DSP48 описываю на нужный функционал в отдельном vhd файле и подключаю его как компонент. Атрибуты задаются внутри и неиспользуемые ноги за пределы компонета не выводятся. В крайнем случае вытаскиваю через generic связку INMODE, OPMODE, ALUMODE. Поскольку раньше такое приходилось делать часто - рука набита именно на этот способ.
×
×
  • Создать...