Перейти к содержанию
    

CaHbl4

Участник
  • Постов

    8
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный
  1. На самом деле для начала мне достаточно даже просто засветить экран в какой-либо цвет, но когда начал разбираться с тем что да как набрел на уже залитую программку в CompactFlash, которая берет фотку с этой же флешки, заливает ее в память, а потом оттуда на экран, так называемое слайд шоу делает при нажатии на кнопку. Так вот эта программа меня очень заинтересовала и я нашел ее исходники, но они оказались в С. А сделать нужно, как я уже уточнил у преподавателя, только на VHDLе. Вот и задумался насчет перевода, ну или хотя бы насчет того, чтобы разобраться в коде на С и попробовать переписать под себя. Программа меня так заинтересовала потому что в идеале нужно будет готовить презентацию по проделанной работе и я как раз подумал о том, что презентацию то можно было бы прямо со своей платы и запустить, залив туда скрины с компа нужного формата(640х480) Пытался уже и сам написать, разобравшись во всех спецификациях VGA, но почему-то экран все равно остается черным! Да и на осцилографе выводится какая-то чушь, при подключении его к VGA-разъему вместо монитора. А в симуляции в Xilinx8.2i все отображается именно так, как нужно! К сожалению уточнил, все таки конечный результат нужен не на С, поэтому разбираться в программировании под PoverPC или Microblaze сейчас не вижу смысла, может в будущем, конечно и пригодится, но сейчас основная задача - это запрограммировать FPGA с помощью VHDL.. Поэтому начальный вопрос хорошо бы поменять) А как это сделать я не знаю, даже с цитатами на форуме с трудом разобрался)) почему-то пишет при наведении на кнопку REPLY "ответить прямо в этом сообщении". Потом вообще через минуту после того, как я написал сообщение кнопка EDIT почему-то пропала, я написал новый пост, а он добавился к старому и кнопка снова появилась.. Чудеса))))
  2. Спасибо, это почти то, что нужно! Жаль, что на верилоге.. Но для понимания сути - самое оно! Завтра днем более детально посмотрю, когда будет доступна плата! И попробую переписать все под себя!
  3. Да, мануал предельно внимательно читал! Особенно что касается директорий на флешке. Все создавал именно в том порядке, как это следует делать! Но почему-то не заработало. Вернул все то, что было на флешке, в том же виде, в котором все было первоначально.. И даже так не заработало! У меня предположение, что там или контрольная сумма какая-то считается, или еще что-то подобное, не видел про это в мануале.. Может все же кто-то уже сталкивался с подобным?
  4. Я и не отрицаю, что это абсолютно разные вещи! Просто если программа есть на С, и она выполняет именно то, что мне нужно, то почему бы не разобраться в ней и не переписать ее под VHDL? Единственное - это я не понимаю, как вообще на С можно задавать, описывать действия для аппаратуры. Соответственно без этих знаний и разобраться не получается! Если я перепишу код на С, как мне проверить его работоспособность? Как создать загрузочное ядро и как его залить в плату? Просто тот код, который есть, он работает не только с видео, но и со звуком, так вот я бы хоть попробовал звук убрать, чтобы оставить только видео и уже разбираться с ним..
  5. Не подскажете, как реализовать вывод изображений на монитор посредством VGA разъема на плате ML403? Буду очень признателен за ссылку на программу, или за хоть какой-нибудь кусочек работающего кода! Пытался написать сам, учитывая все спецификации стандарта VGA, получилось следующее, но почему-то не работает.. Хотел для начала хотя бы просто монотонно-красным дисплей зажечь, но даже такого не удалось( Использовал разрешение экрана 800х600. Спецификация стандарта есть вот на этом сайте Заранее спасибо за ответ, с уважением, Сергей. library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use IEEE.STD_LOGIC_UNSIGNED.all; entity VGA is Port ( clk : in STD_LOGIC; h_sync : out STD_LOGIC; v_sync : out STD_LOGIC; o_r : out STD_LOGIC_VECTOR (0 to 4); o_g : out STD_LOGIC_VECTOR (0 to 4); o_b : out STD_LOGIC_VECTOR (0 to 4)); end VGA; architecture Behavioral of VGA is signal hcnt: std_logic_vector(11 downto 0) := "000000000000"; signal vcnt: std_logic_vector(9 downto 0) := "0000000000"; signal o_r_tmp : STD_LOGIC_VECTOR (0 to 4):= "00000"; signal o_g_tmp : STD_LOGIC_VECTOR (0 to 4):= "00000"; signal o_b_tmp : STD_LOGIC_VECTOR (0 to 4):= "00000"; signal h_sync_tmp : STD_LOGIC:= '0'; signal v_sync_tmp : STD_LOGIC:= '0'; begin o_r <= o_r_tmp; o_g <= o_g_tmp; o_b <= o_b_tmp; h_sync <= h_sync_tmp; v_sync <= v_sync_tmp; process (clk) begin if rising_edge(clk) then hcnt <= hcnt+1; end if; if hcnt<320 and vcnt>=27 and vcnt<627 then h_sync_tmp <= '1'; o_r_tmp <= "00000"; o_g_tmp <= "00000"; o_b_tmp <= "00000"; end if; if hcnt>=320 and hcnt<540 then h_sync_tmp<='0'; end if; if hcnt>=540 and hcnt<2540 and vcnt>=27 and vcnt<627 then h_sync_tmp<='0'; o_r_tmp <= "11111"; o_g_tmp <= "00000"; o_b_tmp <= "00000"; end if; if hcnt>=2540 and hcnt<2640 then h_sync_tmp<='0'; o_r_tmp <= "00000"; o_g_tmp <= "00000"; o_b_tmp <= "00000"; end if; if hcnt=2640 then hcnt<="000000000000"; vcnt <= vcnt+1; end if; if vcnt<4 then v_sync_tmp<='1'; end if; if vcnt>=4 and vcnt<628 then v_sync_tmp<='0'; end if; if vcnt>=628 then vcnt<="0000000000"; end if; end process; end Behavioral;
  6. Подскажите пожалуйста, как разобраться в программе на языке С или переделать ее под VHDL? Как имея под рукой программу на языке С создать загрузочное ядро для Xilinx ML403? Обычные среды разработки типа Visual Studio ведь такого не позволяют? На самом деле первостепенным вопросом является именно перевод программы на VHDL, но для начала можно было бы и с С поработать, понять что там и как выполняется.. Очень нужна программа отображения информации на мониторе посредством VGA разъема. Нашел в дефолтовских программах на CompactFlash, идущей в комплекте с самой 403 платой, программу под названием slideshow, она делает как раз именно то, что мне нужно! Но ее исходники только на языке С.. Заранее спасибо за ответ, с уважением, Сергей.
  7. Не подскажете, Вы в том году сбрасывали пример на языке С. А как получить из него загрузочное ядро? И возможно ли его[код] переделать в VHDL? Заранее спасибо за ответ, с уважением, Сергей.
  8. Друзья, выручайте! В комплекте с ML403 идет карточка памяти, так вот на нее с завода залиты демо программы. Я попробовал разобраться как происходит их запуск и вместо одной из них попробовал запустить свою. В итоге она не запустилась. Но это еще пол беды - теперь не хочет запускаться ни одна из всех тех программ. Решил, что раз они не запускаются, попробовать хотя бы свою запустить! Прочитал в каком формате должна храниться программа, если она одна. Скопировал с флешки все файлы на комп, а свою прогу в положенном формате наоборот на флешку. Запускаю - error! Возвращаю все файлы обратно, т.е. привожу к тому виду, который был еще когда флешка с завода выходила - все равно ничего не запускается! Загорается индикатор error. Может кто-нибудь знает, как мне 1) запустить свою программу с Compact Flash и 2) вернуть на нее все заводские файлы так, чтобы она работала! Тумблер ставлю на SYSACE, а переключатели имеют вид 000111, как и написано в описании.
×
×
  • Создать...