scorp
-
Постов
81 -
Зарегистрирован
-
Посещение
Сообщения, опубликованные scorp
-
-
Длительный ноль - это break, а тишина есть просто отсутствие передачи данных.
Если правильно понимаю, то при реализации MODBUS RTU приёмника по интерфейсу RS-485 достаточно отследить стоп-бит последнего фрейма и запустить счётчик, который отсчитав время тех самых 3,5 символов начал бы отслеживать старт-бит нового фрейма?
-
Есть вопрос касательно протокола MODBUS.
В спецификации на протокол оговаривается, что в RTU режиме сообщение должно начинаться и заканчиваться интервалом тишины — временем передачи не менее 3,5 символов при данной скорости в сети. Что в данном случае понимается под тишиной? Уровень логического "0"?
-
Как я понял, нужно создать экземпляр интерфейса. И где ж его создавать, если не в модуле верхнего уровня?
В переводе главы про интерфейсы, что я выдал в соответствующем разделе форума, можно прочитать.
ViKo, вы всё правильно поняли. Симулятору не удаётся собрать проект если нет дополнительного верхнего уровня, консолидирующего экземпляры инетерфейса и DUT (Device Under Test). Странно что программы логического синтеза (Syn0psys DC в частности) в отличие от симуляторов не нуждаются в этом самом верхнем уровне.
Итог: Всякий раз раз, используя интерфейсы, для обеспечения корректной сборки проекта необходимо ОБЯЗАТЕЛЬНО создавать модуль верхнего уровня.
Спасибо за Ваш ответ.
-
Эээ, простите, а куда это записывать и вообще на каком это языке? 0_о
Записывается во временнЫе ограничения проекта. Команда в общепринятом формате SDC (Synopsys Design Constraints).
-
Или интерфейс у вас interf ? Можно полный пример кода, а то сложно в угадайку играть :)
полного кода в данный момнет нет, а interf это имя интерфейса. Он описан в отдельном файле.
-
Спасибо, а еще можно вопросов такого уровня позадавать?
Задавайте, поможем совместными усилиями, чем сможем. Для этого и нужен форум. :rolleyes:
-
Выложить готовый проект всё равно что "разжевать и в рот положить". Думается что идея не приживётся: во-первых хорошие проекты стоят немалых денег, во-вторых в сети уже есть ресурсы где можно найти готовое, хотя бы тот же opencores.org
-
"Основы языка VHDL", Бибило П.Н.
На мой взгляд, для новичка лучшего не найти. Содержит и теорию и упражнения. Кому нужна - свисните, имеется в djvu, издание второе, исправленное и дополненное, 2002 г.
А серьёзных книг для опытных разработчиков на русском действительно не найти, писать некому, а переводить некогда и не для кого :(
-
Опубликовано · Изменено пользователем Nix_86 · Пожаловаться
Есть новый вопрос касательно интерфейсов. Описан интерфейс EXCHANGE.
"Abonents" - является структурой, "data" - одно из его полей.
module device (interf EXCHANGE, input clk, input rstN ); always_ff @(posedge clk) EXCHANGE.Abonents.data <= data_in; endmodule
на этапе сборки получаем ошибку:
always_ff @(posedge clk) EXCHANGE.Abonents.data <= data_in; | ncelab: *E,NLRMOS (../BU_TBON_top.sv,11|57): Non-local reference to members of struct/union not supported.
В чём собственно проблема? Конструкция не поддерживается данным симулятором (NC-verilog)? Или не поддерживается в SV в принципе?
-
Хочу как можно ближе к оригиналу (но по-русски). А не просто похожих по смыслу.
Ну тогда просто "тест"! Слова типа "тестовый стенд", "тест-стенд" как-то совсем далеки от сущности. Зря вы так ненавидите слово "тестбэнч", неоднократно встречал.
-
Nix_86: А у вас случаем этого нет?
- Матсон Э.А. Конструкция и технология микросхем: Учеб. пособие для радиотехн. спец. вузов. – Мн.: Выш. шк., 1985. – 207 с.
- Николаев И. М., Филинюк Н. А. Интегральные микросхемы и основы их проектирования. – М.: Радио и связь, 1992.
есть только такое:
Матсон Э.А., Крыжановский Д.В. Справочное пособие по конструированию микросхем.-Мн.: Выш. шк., 1982.-224 с.
-
Как мне в переводе писать testbench - тест, тестовый стенд, тест-стенд, тест-код, тесткод?
"тестовое окружение" как вариант
-
STUDENT05, на здоровье ;)
Analog Circuit Design - Fractional - N Synthesizers
CMOS PLL Synthesizers - Analysis and Design
Design of a 2.4-GHz CMOS monolithic fractional-N frequency synthesizer
DESIGN OF HIGH PERFORMANCE FREQUENCY SYNTHESIZERS IN COMMUNICATION SYSTEMS - MOON - Diss
Digital PLL Frequency Synthesizers - Rohde
Frequency Synthesis by Phase Lock - 2nd ed - Egan
FREQUENCY SYNTHESIZERS - ALLEN
LOW PHASE NOISE DESIGN TECHNIQUES FOR PLL BASED INTEGRATED RF FREQUENCY SYNTHESIZERS - KONG - Diss
Phase_Lock_Loops_and_frequency_synthesis
-
начинаю осваивать SV, интерфейс описал в отдельном файле, на этапе компиляций ошибок нет, сборка закачнивается ошибкой ncelab: *E,CUINMD (../device.sv,2|52): An interface connection must be connected to a Verilog parent (device)
посмотрите на код, что я делаю не так? :)
module device (
interf.CONTROLLER EXCHANGE,
input clk,
input rstN
);
endmodule
ошибка пропадает только если создать верхний модуль и расположить в нём инстанции device и interf
module testbench;
interf bus();
device u1 (.EXCHANGE(bus));
endmodule
есть ли возможность разрабатывать иерархический проект поблочно, но с интерфейсами, т.е. не прибегая к созданию верхнего модуля?
-
Если невлом посмотри еще про Digital Hardware Design, общие положения, основы, можно на английском. Потом когда кинешь выберу что надо и мыло дам. И еще посмотри Ипатов Широкополосные сети и кодовое разделение сигналов. Заранее спасибо!
по цифровому проектированию:
В некоторых англоязычных папках встречается сразу несколько книг.
По этой теме много чего есть в других тематических разделах (узкоспециализированных). Если нужно что-то конкретнее, не стесняемся, спрашиваем :)
Ипатова не нашел :( Вообще русскоязычных книг по электронике мало.
-
Опубликовано · Изменено пользователем Nix_86 · Пожаловаться
Есть что-нибудь по синтезаторам частоты (желательно недавняя литература) и по операционным усилителям?Сразу заливать на обменники не буду, перечислю что есть. Скажите что заинтересует - залью.
по синтезаторам:
Analog Circuit Design - Fractional - N Synthesizers, 410 с. 2004 г.
CMOS PLL Synthesizers - Analysis and Design - SHU - SANCHES-SINENCIO, 215 с. 2005 г.
Design of a 2.4-GHz CMOS monolithic fractional-N frequency synthesizer, 187 с. 2003 г.
DESIGN OF HIGH PERFORMANCE FREQUENCY SYNTHESIZERS IN COMMUNICATION SYSTEMS - MOON - Diss, 151 с. 2005 г.
Digital PLL Frequency Synthesizers - Rohde, 494 с. 1983 г.
Frequency Synthesis by Phase Lock - 2nd ed - Egan, 583 с. 2000 г.
FREQUENCY SYNTHESIZERS - ALLEN (что-то вроде лекций pdf)
LOW PHASE NOISE DESIGN TECHNIQUES FOR PLL BASED INTEGRATED RF FREQUENCY SYNTHESIZERS - KONG - Diss (диссертация)
Phase_Lock_Loops_and_frequency_synthesis, 320 с. 2003 г.
SUBMICRON CMOS COMPONENTS FOR PLLBASED FREQUENCY SYNTHESIS, 223 с. 2002
Основы теории синтеза частот - Шапиро - 1981, 264 с.
по операционникам:
лень набирать, выложу скриншот
+ почти в любой книге по проектированию аналоговых схем есть главы по ОУ, этих книг тоже много, спрашивайте если что заинтересует.
Слушай, а у тебя нет случайно книг по высокоскоростной цифровой передаче данных? Что нибудь наподобие Говард Джонсон "Высокоскоростная цифровая передача данных".как же без этого
"Высокоскоростная передача цифровых данных. Высший курс чёрной магии" Говард Джонсон, Мартин Грэхем, 1024 с. 2005 г.
ещё немного по теме коммуникаций и протоколов:
что заинтересует - дайте знать, залью.
-
Есть книга
"Электроника в вопросах и ответах" И. Хабловски, В. Скулимовски, 1984 г. Как и в "Хоровиц, Хилл. Искусство схемотехники" обо всём и понемногу
Электроника в вопросах и ответах
Но лучше скажи хотя бы примерно с чем именно хочешь ознакомиться. В моей более чем 20 гиговой библиотеке можно найти книгу почти из любой области электроники. :yeah:
-
Хорошо, тогда как доказать корректность тестбенча, который кто-то разработал? :laughing:
Встречаются вещи на которые есть официальные тесты или методика тестирования от разработчика стандарта, если не ошибаюсь таковые имеются для CAN 2.0 протокола. О других регламетированных тестах мне неизвестно :) Поэтому самостоятельно разработанный тестбенч - не более чем проверка соответствия разработанного дизайна поставленной цели. К сожалению, никто не застрахован от неправильного понимания спецификации на интерфейс как бы точно и однозначно она не была написана. Отсюда вижу одно решение проблемы - разбор аналогов, использование верификационных IP-ядер, накопление опыта. Лучше лишний раз перестраховаться. B)
-
Опубликовано · Изменено пользователем Nix_86 · Пожаловаться
Ругается на переопределение переменной... В списке портов Ethernet_TDp и Ethernet_TDm объявлены как wire, ниже Вы их переопределяете как регистровые. Поправьте список портов например так:
module fp( input clk20, output [b]reg[/b] Ethernet_TDp, output [b]reg[/b] Ethernet_TDm );
-
Какая у Вас за память? И что так ограничивает скорость чтения?
"Сразу же" вовсе не означает что по фронту Т3, валидные данные должны быть установлены к моменту считывания (фронт Т4).
Если у памяти слишком большое время доступа, можно попробовать снизить частоту APB, но это "ради тренировки".
Контроллер ОЗУ между APB интерфейсом и памятью имеется? Как вариант можно описать свой контроллер, в цикле опрашивать статус готовности данных, по установке статуса читать регистр данных.
-
и ссылка уже умерла, спасибо заранее!
по просьбе трудящихся освежаю ссылку:
-
Всем доброго времени суток!
Нигде немогу найти оператор в Verilog'e, соответствующий оператору условного параллельного присванивания в VHDL:
out<= in1 when sig=1 else
out<=in2 when sig=2 else
out<=in3;
Такой вообще существует?
пожалуй самый короткий вариант:
out <= (sig == 1) ? in1 : (sig == 2) ? in2 : in3
-
Суть как раз в том, что ни функционал ни область применения неизвестны заведомо. На данном этапе устроит даташит на любое устройство в составе которого есть контроллер приёма/передачи по RS-485 (регистровый состав, система команд)
-
Название: Цифровая обработка сигналов
Автор: Сергеенко
Год издания: 2002
Страниц: 608
Формат: djvu
Размер: 9.66 MB
http://rapidshare.com/files/456935547/____...___________.rar
-------------------------------------------------------------------
Название: Цифровая обработка сигналов. Практический подход
Автор: Эммануил Айфичер
Год издания: 2004
Страниц: 992
Формат: djvu
Размер: 9.75 MB
http://rapidshare.com/files/456936242/____..._____._________
-------------------------------------------------------------------
Название: Основы цифровой обработки сигналов
Автор: А.И. Солонина, Д.А. Улахович, С.М, Арбузов, Е.Б. Соловьёва
Год издания: 2005
Страниц: 768
Формат: djvu
Размер: 4.92 MB
http://rapidshare.com/files/456936780/____...____.2______..d
Пользовательские регистры JTAG
в В помощь начинающему
Опубликовано · Пожаловаться
Интересует способ реализации пользовательских регистров при построении JTAG с помощью Synopsys BSD Compiler. Если точнее, то можно ли в существующем списке соединений (verilog) непосредственно перед синтезом TAP-контроллера и ячеек граничного сканирования задействовать существующие регистры дизайна для того чтобы иметь доступ к ним через JTAG (загрузить, считать)? Или же для этого необходима переработка дизайна на уровне RTL кода с заменой данных регистров на их тестопригодные эквиваленты?