Перейти к содержанию
    

Djony1987

Свой
  • Постов

    94
  • Зарегистрирован

  • Посещение

Весь контент Djony1987


  1. эт я считал сколько будет в приемнике в свободном пространтсве при дальности 5 км...мощность шума брал 10^-20 Вт/Гц, мощность передатчика 0.5 Вт Если исходить из прямой помехоустойчивости, BER От Eb/N0 - примерно 4 дБ, когда BER=1e-6...
  2. Подскажите плис, как чувствительность можно прикинуть? В приемнике стоит МШУ с Noise Fugure = 0.8 дБ.
  3. Зато сколько плюсов) высокая помехоустойчивость, скрытность системы связи, борьба с многолучевостью и электромагнитная совместимость
  4. Ну да, можно военным продать :) А вообще просто решил использовать расширение спектра, раз в сотовых сетях используется почему бы не в рации...идея в своей разработке :) Эл. базы много - к моей много чего подошло :) А у Вас какие расчеты были? Модуляция - BPSK.
  5. В самом начале считал на расстояние 5 км при мощности 0.5 Вт - получилость отношение с/ш в приемнике - 79 дБ )) От других параметров не плясал, точнее посчитал по Окамуры-Хата. Посчитал потери: http://dl.dropbox.com/u/2907327/%D0%A0%D0%A1/okamur.JPG У меня максимальная мощность - 0.5 Вт, необходимое расстояние чтобы брало - 5 км, усилитель у меня с регулировкой, т.е. будет регулироватся мощность в зависимости от качества связи. Со спутником точно нет связи, даже не задумывался :)
  6. В принципе по самой сути диплома все сделано: сделал пару моделей в симулинке - промоделировал некоторые особенности, сделал несколько прошивок для разных элементов (модулятор, интерливер/деинтерливер, сверточный кодер, декодер Витреби и т.д.). Выбрал компоненты - АЦП, ЦАП, усилители и т.д. . Обосновал спроектрованную схему - даже скорее описал=) Полоса выбрана - 7 МГц, расширение спектра в 100 раз, использую пакеты по 4099 бит, из них 7 - преамбула.Скорость после помехоустойчивого кодера (каскадного) - (255/239*16 кбит/с)*2 ~ 34.14 кбит/с. Сколько лучше взять? Или даже так: какие применяются? :)
  7. Здравствуйте! Спасибо за наводку! А какие расчеты еще делали? Мне бы побольше) Спасибо!
  8. Ясно. Спасибо! Антенная всенаправленная, поэтому усиление 1 как я понимаю. А вообще можно как то узнать как такие системы расчитывают? Может есть стандарты?
  9. Здравствуйте! Есть беспроводная система связи: у неё есть определенная Eb/N0 при необходимо BER=10^-5, есть необходимая дистанция - 5 км, максимальная доступная мощность - 0.5 Вт, какие расчеты можно сделать? Думаю сделать расчет затухания на линии прямой видимости, необходимую чувствительность при сопротивлении антенны 50 Ом, что еще можно посчитать? ) Если взять расчет потерь Окамуры-Хата? (у меня частота 407 МГц примерно) Спасибо!
  10. Спасибо большое! Прикольные схемы! Я думал файлы Компаса :)
  11. Не подумал даже) Думал может есть специально созданные для этого редакторы... Спасибо за ответ! Подскажите плис какой лучше Компас качать, обычный или еще электрик есть какой-то... Если не жалко, можете пример нарисованной структурной схемы скинуть? Буду благодарен! Спасибо!
  12. Здравствуйте! Посоветуйте где лучше нарисовать схему системы связи, нужно для диплома. Чтобы красиво выглядело и по стандарту. Сожет есть программы со стандартными блоками, типа фильтров модуляторов и т.д. Спасибо! Один из промежуточных результатов: http://dl.dropbox.com/u/2907327/%D1%81%D1%...0%B01234567.JPG - Рисовал в sPlan. Хотелось бы чтобы выглядило красиво, при этом укладывалось в стандарты. Хотелось бы также услышать советы кто по похожей теме диплом писал. Как лучше все это оформлять. Как разделять схему на блоки. Мне надо общую схему и схемы отдельных блоков. ЗЫ Нужно ли указывать такие блоки, как перевод из последовтального потока в параллельный (в кодере РС например), или блок добавления преамбулы и нулей для сверточныого кодера и т.д.
  13. Скорость входного потока фиксирована - 16 кбит/с, т.е. получается 2 клока? Не очень понимаю как это делается, пока только с памятью вижу - когда записывается 239 байт, потом они считываются с большей скоростью + добавляются проверочные, так правильно? Есди да, то как лучше это сделать? Сенк!
  14. У меня есть кодер Рида-Соломона (255, 239), при этом сейчас входная и выходная скорости одинаковые. Как сделать чтобы скорость выходного потока была в 255/239 раз больше входного? Спасибо!
  15. Спасибо за инфу!! АБГШ не пробовал, попробовал генератор бернулли сгенерировать - такую чушь сгенерировал)) Насчет фильтров: буду пробовать - пока ниразу не генерировал :) Решил поигратся с Simulink + Active-HDL. Сваял небольшую схему для проверки: Получилось: Наверно в Симулинке и буду тестит схему.
  16. Ясно. Сенк...приму к сведению! С этим вроде разобрались :) При инверсной структуре как я понимаю занимаемый объем увеличивается, но облегчается описание + можно оптимально использовать все плюсы LUT (SLR16,32). Для 1 битовой если стандартную взять, а для 8 битовой инверсную например, норм? Попробую :) Но это если собрать Передатчик и начало приемника. Что есть: 1) Кодер РС 2) Блоковый интерливер 3) Сверточный кодер 4) Блок расширения спектра (генератор ПСП) 5) Блок модуляции (генератор синуса/косинуса) 6) ПФ (можно синтезировать в матлабе) 7) Есть скаченный :( блок AWGN 8) ПФ (???) 9) Квадратурная демодуляция (генератор синуса/косинуса) 10 ) 2 СФ на I и Q компоненты (2 варианта, с 1 битом, с 8 битами) 11) дальше потом) Сейчас стоит вопрос, как соеденить блоки в передатчике, при это надо формировать кадры... Называется SRLC32E, SL32C неправильно написал... http://www.xilinx.com/support/documentatio...uides/ug384.pdf стр. 54
  17. Если по логике то надо наверно !xor...но это если 1 бит используем...в ином случае умножение на 1, -1 или неинверсия, инверсия знакового бита соотвественно...
  18. Ага...надо было с класического, не подумал. Это пример только для начала, чтобы посмтортеть работу. Теперь насчет самого СФ: пока непонятно сколько свободных ресурсов на кристалле остается - решили брать только знак, 0 - положит. , 1 - отрицат. (или наоборот сделать как в Варакине) (пройгрышь 2 дБ по сравнению с бесконечностью) Если смотерть на ЛСФ, который в книгах описывается, там использвуется 1 и -1. И там значения получаются и положит, и отрицательные. Примеры из Simulink. (токо это не преамбула - просто избавление от расширения спектра) Преамбула вот: Я вот не знаю, ДСФ норм если оставить, или лучше заюзать SL32С и несколько разрядов, именно по ресурасм. Ведь с помощью SL32С можно в перспективе работать) ЗЫ: Немного исправил код: module MF(Clock, Input, Output); input Clock; input Input; output [2:0] Output; reg [6:0] coef =7'b0011101; reg [6:0] reg_input = 0; integer i; reg [6:0] res = 0; always @(posedge Clock) reg_input <= {Input, reg_input[6:1]}; always @(*) for(i = 0; i < 7; i = i + 1) res[i] = reg_input[i] ~^ coef[i]; assign Output = res[0] + res[1] + res[2] + res[3] + res[4] + res[5] + res[6]; endmodule
  19. Сенк! Все таки надо вначале вычислить значения после умножания на коэффициенты... Так норм вроде: module MF(Clock, Input, Output); input Clock; input Input; output [2:0] Output; reg coef [0:6]; reg res [0:6]; reg [2:0] sum [0:6]; initial begin coef[0] = 1; coef[1] = 0; coef[2] = 1; coef[3] = 1; coef[4] = 1; coef[5] = 0; coef[6] = 0; res[0] = 0; res[1] = 0; res[2] = 0; res[3] = 0; res[4] = 0; res[5] = 0; res[6] = 0; sum[0] = 0; sum[1] = 0; sum[2] = 0; sum[3] = 0; sum[4] = 0; sum[5] = 0; sum[6] = 0; end integer i; always @(posedge Clock) begin for(i = 0; i < 7; i = i + 1) begin res[i] <= Input & coef[i]; end for(i = 0; i < 7; i = i + 1) begin if(i == 0) begin sum[i] <= res[i]; end else begin sum[i] <= sum[i - 1] + res[i]; end end end assign Output = sum[6]; endmodule Получил то, что и ожидал :)
  20. Чтобы втянутся в тему, начал с данного примера (хотя он и не правильный СФ): Код: module MF(Clock, Input, Output); input Clock; input Input; output Output; reg coef [0:6]; reg [2:0] sum [0:6]; initial begin coef[0] = 1; coef[1] = 0; coef[2] = 1; coef[3] = 1; coef[4] = 1; coef[5] = 0; coef[6] = 0; sum[0] = 0; sum[1] = 0; sum[2] = 0; sum[3] = 0; sum[4] = 0; sum[5] = 0; sum[6] = 0; end integer i; always @(posedge Clock) begin for(i = 0; i < 7; i = i + 1) begin if(i == 0) begin sum[i] <= Input & coef[i]; end else begin sum[i] <= sum[i - 1] + Input & coef[i]; end end end assign Output = sum[6]; endmodule Логика такая, на вход поступает символ, он умножается на все коэффициенты (я И делаю - для примера) и складывается. При это прибавляется значение на предыдцщем сумматоре. Может неправильно описал...почему-то неправильно работает...
  21. У меня тоже почти такой-же - X243HQ. Всем устраивает, хорошее качество изображения. По соотношению цена/производительность наверно лучший из 24'.
  22. Если использовать SLRC32E (в Spartan6) и Inverse FIR structure, при этом оверсамплинг 2х. Как это описывать чтобы задейстовать SLRC32E(SLR16E) как в документе?
  23. Здравствуйте! Сейчас остановился на согласованном фильтре, 100 чипов, 2x оверсамплинг - т.е. посути 200 получипов. Появились несколько вопросов: 1) Как коэффициенты хранить/использовать? 2) Как использовать LUT в SliceM в Спартан6? Я так понял, что надо описывать как регистр сдвига, не используя Reset. Спасибо! PS Сейчас буду второй раз читать xapp212 - с первого чот суть реализации не уловил...может вопросы и отпадут)
  24. sazh, Спасибо! Универсальный код, получил неоценимый опыт пока с ним разбирался :) В принципе и мой код работал также, кроме пары моментов. У меня проблема возникает вот где: always @(posedge Clock) begin if(RWSelect) begin AddressRAMA <= AddressRAMR; AddressRAMB <= AddressRAMW; end else begin AddressRAMB <= AddressRAMR; AddressRAMA <= AddressRAMW; end end .... RAMModule #(64,8,6) ramA (.Enable(RAMEnable), .Data(DataRAMA), .Address(AddressRAMA), .RWSelect(RWSelect), .RClock(Clock), .WClock(Clock)); RAMModule #(64,8,6) ramB (.Enable(RAMEnable), .Data(DataRAMB), .Address(AddressRAMB), .RWSelect(~RWSelect), .RClock(Clock), .WClock(Clock)); Т.е. мне же надо менять местами адреса всякий раз когда 1 из блоков заполняется входными значениями. Здесь происходит задержка на 1 период клока. Сделал так: assign AddressRAMA = (RWSelect) ? AddressRAMR : AddressRAMW; assign AddressRAMB = (RWSelect) ? AddressRAMW : AddressRAMR; AddressRAMA, AddressRAMB сделал wire. Сейчас вроде норм робит :) Щас буду тестить. Такой вопрос: Как все таки правильно описать вот это: RAMModule #(64,8,6) ramA (.Enable(RAMEnable), .Data(DataRAMA), .Address(AddressRAMA), .RWSelect(RWSelect), .RClock(Clock), .WClock(Clock)); RAMModule #(64,8,6) ramB (.Enable(RAMEnable), .Data(DataRAMB), .Address(AddressRAMB), .RWSelect(~RWSelect), .RClock(Clock), .WClock(Clock)); ... assign DataRAMA = (~RWSelect) ? Input_reg : 'bz; assign DataRAMB = (RWSelect) ? Input_reg : 'bz; Т.е. в зависимости от RWSelect, DataRAMA или вход, или выход...если вход - то в него вначале записывается входное значение...а если выход... Спасибо!
×
×
  • Создать...