Перейти к содержанию
    

Styv

Свой
  • Постов

    133
  • Зарегистрирован

  • Посещение

Весь контент Styv


  1. Metawatch

    Скачал проект с https://github.com/MetaWatchOpenProjects/Me...r/Watch/Project Компилится в IAR 6.10.5 конфигурация GEN2. Когда выбираю GEN1, вылетает с ошибкой как в первом посте. Может кто посмотрит?
  2. Metawatch

    Написал, пока тишина.
  3. Metawatch

    Может тогда в CCS-е попробуете?
  4. Metawatch

    Там есть папка Doc, в ней картинки с настройками для проекта, я прописывал согласно им.
  5. Metawatch

    Поменял, стало так: Building configuration: gen2 - Gen1 Updating build tree... Linking Error[e16]: Segment DATA20_C (size: 0xb46c align: 0) is too long for segment definition. At least 0x3127 more bytes needed. The problem occurred while processing the segment placement command "-Z(CONST)DATA20_C=10000-45BFF", where at the moment of placement the available memory ranges were "CODE:3d8ba-45bfe" Reserved ranges relevant to this placement: 10000-3d8b9 CODE 3d8ba-45bfe DATA20_C 45bff-45bff TEMPLATE_FLASH_HIGH_MEM Error while running Linker Total number of errors: 1 Total number of warnings: 0
  6. Metawatch

    В IAR 6.10.5 Project->Add Exesting Project выбрал папку с проектом и открыл проект. Выбрал Project->Edit Configuration Gen1 (так как у меня часы первой генерации) Зашел в Options проекта и настроил поля согласно файлам из папки Doc. Далее Make и получаю вот что: Building configuration: gen2 - Gen1 Updating build tree... Linking Error[e16]: Segment DATA20_C (size: 0xb46c align: 0) is too long for segment definition. At least 0x3127 more bytes needed. The problem occurred while processing the segment placement command "-Z(CONST)DATA20_C,DATA20_ID=5C00-FF7F,10000-45BFF", where at the moment of placement the available memory ranges were "CODE:db4b-db4b,CODE:3d8ba-45bfe" Reserved ranges relevant to this placement: 5c00-5c2f CSTART 5c30-5ff9 ISR_CODE 5ffa-da75 DATA16_C da76-db4a DATA16_ID db4b-db4b DATA20_C db4c-ff7f CODE 10000-3d8b9 CODE 3d8ba-45bfe DATA20_C 45bff-45bff TEMPLATE_FLASH_HIGH_MEM Error while running Linker Total number of errors: 1 Total number of warnings: 0
  7. Metawatch

    Привет Всем! Подниму тему. В часах MetaWatch стоит MSP430F5438A. На github.com есть проекты для данных часов под CCS и IAR/ Пытаюсь скомпилировать проект, и в CCS и в IAR компилирует с ошибками. Не могу понять в чем траблы. Может кто поможет разобраться? Сам с MSP не работал, IAR не использовал ранее.
  8. Привет всем! Написал модуль для Qsys. Создал компонент. У модуля есть список параметров, например (A1, A2, A3, B1, B2, B3) Хочу параметры объединить в таблицу. Для этого создаю таблицу: add_display_item "" AAA GROUP "" add_display_item AAA "Table Group" GROUP "" add_display_item "Table Group" AA PARAMETER "" add_display_item "Table Group" BB PARAMETER "" И теперь мне надо из этой таблици из столбца АА и первой строки передать значение этой ячейки параметру А1. из второй строки А2 и так далее И сос столбцом ВВ сделать аналогично. Вопрос в том, как обратиться к элементам этих массивов? компонент во вложении. example.zip
  9. Как это сделать? Где почитать?
  10. Привет Всем! Собираю систему в qsys, состоящую из контроллера памяти DDR и двух моих модулей, которые являются мастерами Аvalon-ММ, которые должны независимо друг от друга обращаться к ДДР. Если по отдельности каждый модуль работает с ДДР нормально, то при сподключении к ДДР двух модуляй, оба или перестают работать или работают с ошибками. Как подключать к одному слейву несколько мастеров?
  11. Нужен он мне! Из штук пяти, только на него ругается квартус. Пока выкрутился так: не использую его в проекте, а не назначенные пины, квартусом, назначаются как входы подтянутые к питанию, что мне пока и надо.
  12. Не помогло. Помню, что был патч, который разрешал назначать пины рядом с диф. парами. Вот только не найти мне его.
  13. Привет Всем! При компиляции квартус выдает ошибки: Error (169012): Output or bidirectional pin b4io1 in pin location AD15 (pad_138) is too close to VREF pin in pin location AB14 (pad_136) Error (169012): Output or bidirectional pin b4io1 in pin location AD15 (pad_138) is too close to VREF pin in pin location AB14 (pad_136) Error (169012): Output or bidirectional pin b4io1 in pin location AD15 (pad_138) is too close to VREF pin in pin location AB14 (pad_136) Error (169012): Output or bidirectional pin b4io1 in pin location AD15 (pad_138) is too close to VREF pin in pin location AB14 (pad_136) Error (169012): Output or bidirectional pin b4io1 in pin location AD15 (pad_138) is too close to VREF pin in pin location AB14 (pad_136) Error (169012): Output or bidirectional pin b4io1 in pin location AD15 (pad_138) is too close to VREF pin in pin location AB14 (pad_136) Error (169012): Output or bidirectional pin b4io1 in pin location AD15 (pad_138) is too close to VREF pin in pin location AB14 (pad_136) Error (169012): Output or bidirectional pin b4io1 in pin location AD15 (pad_138) is too close to VREF pin in pin location AB14 (pad_136) Error (169012): Output or bidirectional pin b4io1 in pin location AD15 (pad_138) is too close to VREF pin in pin location AB14 (pad_136) Error (169012): Output or bidirectional pin b4io1 in pin location AD15 (pad_138) is too close to VREF pin in pin location AB14 (pad_136) Error (171000): Can't fit design in device Error: Quartus II 64-Bit Fitter was unsuccessful. 11 errors, 16 warnings Error: Peak virtual memory: 762 megabytes Error: Processing ended: Mon Jan 28 16:49:23 2013 Error: Elapsed time: 00:00:36 Error: Total CPU time (on all processors): 00:00:35 Error (293001): Quartus II Full Compilation was unsuccessful. 13 errors, 545 warnings Как побороть?
  14. Есть два модуля. АММ Мастер с шиной данных в 16 разрядов и АММ Слейв с 32 разрядной шиной данных. При записи мастером в слейв происходит наблюдаю такую картину: Смотрю выход мастера в сигналтабе. Мастер формирует данные на запись и адрес прибавляет на 2. Со стороны слейва смотрю. На него приходят данные в младших 16 разрядах и на два такта записи адрес инктементится один раз. Например: мастер пишет четыре 16 разрядных слова 0х1122, 0х3344, 0х5566, 0х7788, по адресам 0 2 4 6 на слейв приходят данные в таком виде: 0х00001122, 0х00003344, 0х00005566, 0х00007788, и пишутся по адресам 0 0 1 1 Следовательно данные перезаписываются. В чем косяк?
  15. Падает в сигналтабе, так же, как и 12!!!
  16. Это ответ не тебе, а предыдущему оратору! А на какой лучше диск устанавливать квартус? Я привык на системном диске не держать рабочие данные (именно по этому и не нравиться вариант складывать свои модули в папку квартуса).
  17. Ну после этого: Лучше держать и более раннюю версию.
  18. Работаю сейчас в 11 квартусе. Это всегда указывал в сопсе, и указал в кусисе. Кусис модули видит и генерит систему без ошибок, но квартус отказывается видеть их. Это где и какие настройки квартуса? Дайте ссылку, где можно об этом почитать. А вот это помогло! Как вариант можно пользовать, но при переходе от одной версии ква к другой придется преносить модули в другую папку нового квартуса.
  19. Тоже не помогло. Методом проб, выяснил: Если скинуть папку, в которой лежит модуль (sv и tcl) в папку проекта, то квартус компилирует. Это конечно выход, но это не удобно, если модуль используется в нескольких проектах и постоянно дорабатывается. В сопсе таких проблем нет.
  20. Ни чего из этого не помогло. Не понимаю! Гуру, ПОМОГИТЕ!
  21. Исходник на sv и tcl лежат в отдельной своей папке. Раньше в сопс системе все было нормально и работало. Добавлял файл в проект, не помогает. И еще вопрос: А зачем qsys создает папку в пректе submodules и копирует в том числе и исходник моего модуля и не пользует его? (эту папку подключал как библиотеку, не помогло) Причем, если в системе убрать галку для моего модуля (отключить) и сгенерить систему, то ква все равно выдает ошибку. И даже если удалить модуль из системы и сгенерить ее, то ква тоже выдает ошибку. Помогает только удаление папки submodules и заново сгенерить систему без модуля!
  22. Привет Всем! Вот решил перейти на Qsys. Собрал систему с Ниосом. Hello World заработал. Дальше добавил в систему свой блок, который в сопсе работает. Систему сгенерил без ошибок. При компибяции Ква ругается: Error (12252): Qsys1.ADN6405_conf_0: Component ADN6405_conf 1.0 not found Error (12252): Nios2_qsys_0.data_master/ADN6405_conf_0.ADN6405_conf: Connection nios2_qsys_0.data_master/ADN6405_conf_0.ADN6405_conf has missing start or endpoint Error (12252): Nios2_qsys_0.data_master/ADN6405_conf_0.ADN6405_conf: Connection nios2_qsys_0.data_master/ADN6405_conf_0.ADN6405_conf has missing start or endpoint Error (12252): Nios2_qsys_0.data_master/ADN6405_conf_0.ADN6405_conf: Connection nios2_qsys_0.data_master/ADN6405_conf_0.ADN6405_conf has missing start or endpoint Error (12252): Generation stopped, 8 or more modules remaining Error (12153): Can't elaborate top-level user hierarchy Error: Quartus II 64-Bit Analysis & Synthesis was unsuccessful. 6 errors, 101 warnings Error: Peak virtual memory: 490 megabytes Error: Processing ended: Wed Oct 17 14:09:10 2012 Error: Elapsed time: 00:00:08 Error: Total CPU time (on all processors): 00:00:08 Error (293001): Quartus II Full Compilation was unsuccessful. 8 errors, 101 warnings Что делаю не так?
  23. Это в qsys, в sopc кладет в папку компонента.
  24. Привет Всем! Есть плата с Cyclone IV + OMAP L138. Cyclone + OMAP соединены по EMIF. Нужно реализовать компонент для Сопса EMIF в AMM, через который Омап имел бы доступ через авалон к ddr, подключенной к плисине. С записью вроде все получается, а вот с чтением не понятно как делать.
×
×
  • Создать...