Перейти к содержанию
    

infinity

Участник
  • Постов

    31
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о infinity

  • Звание
    Участник
    Участник

Посетители профиля

1 095 просмотров профиля
  1. Готовы взяться. Специализируемся на контрактной разработке, есть свое опытное монтажное производство. По договоренности- разработка, сборка, возможна разработка софта [email protected] 9069476658 Анатолий
  2. Продам графопостроитель Электроника МС6501 01. Год выпуска 1990. С хранения. Полная заводская комплектация. Все работает, могу выложить ролик. На продаже 2 аппарата. Можно сделать гравировальный аппарат либо какой-то другой станочек cnc
  3. Компания ООО "Планарные технологии" (г. Томск) оказывает услуги в области контрактной сборки печатных плат: монтаж печатных плат Типоразмер чипов: от 0201 Монтаж микросхем: BGA, LGA- в едином цикле сборки печатной платы Для сборки серий используется установщик компонентов Atoz pp050. реболлинг BGA Выполняем восстановление большинства современных микросхем в BGA корпусах (Cyclone, Virtex, Kintex, Marvell и др). Координаты для связи: www: planartechnology.ru mail: [email protected] тел.: +7 906 947 66 58 icq 452982640
  4. Пытаюсь собрать в simulink'е простенькую модельку модема ofdm. Нарисовал mapper'a qpsk + ifft. Посмотрел спектр- все замечательно: Далее хотелось бы поставить небольшенький интерполирующий фильтр. Но разрядность шины после ifft>1,=256. Т.е. напрашивается мысль, что нужен демультиплексор потока. Который сделать штатными графическими средствами без *.m или *.c не получается. Вопрос, как такие вещи делаются и насколько правильна сия замечательная идея? Восможно это совсем ламерский способ и более правильно идти другим путём Моделька: simple.zip
  5. Занимаюсь сейчас похожей задачей. Подключаю LTC2174 к ep3se. Отличие лишь в том, что я использую железные serdes'ы. Непонятно, почему вы посчитали, что сигнал FRAME можно использовать в качестве опорного генератора для pll плис? Ведь нигде не сказано, что по шумам он не хуже, чем DCO. Что у вас получилось по шумам? Хотя с другой стороны, если в качестве опоры для pll serdes'ов использовать DCO, непонятно как использовать FRAME для синхронизации. Нет идей? :-)
  6. Поставил matlab7.11.0 под linux. При работе с simulink'ом возникло пару вопросов. Почему интерфес симулинка нарисован довольно убого (если сравнить c gui самого matlab'a). Куда исчезли панельки toolbar и statusbar. Можно ли их как-то включить. Если нет, то что предлагается в качестве замены. Собственно скрин окошка модельки, без панелек:
  7. За ссылочку спасибо. Довольно позновательно. Я так понимаю для себя вы выбрали работать через jtag сервер на win? Неужели так быстро сдались и не завалили техподдержку alter'ы гневными письмами? Пробовал в первую очередь. Мне не помогло, в результате и родилась эта тема. А у вас jtag отлично работает на linux?
  8. Но ведь альтера делает дистрибутив под linux. Неужели signaltap никогда нормально и не работал? Зачем тогда вообще нужна сборка среды без поддержки средств отладки? Или же дело в сборке ядра linux и на некоторых операционках все замечательно работает?
  9. Сама проблема - не рабоет signaltap. Железо: система: ubuntu10.10; среда: quartus10.1sp1; программатор- usb_blaster Лирика: Пользуюсь ubuntu10.10. Раньше работал с железом через машину с win_xp (поднимал jtag сервер и ломился к нему по сети). Сейчас есть желание избавиться от лишней прослойки, т.е. работать напрямую. В ходе поисков нашел статейку в сети: http://www.philpem.me.uk/elec/fpga/quartus-ubuntu/ в которой описывается, как заставить quartus "увидеть" программатор: This leaves one small problem: the USB Blaster cables don't work. To fix this, we need to do play some sneaky filesystem tricks. Edit /etc/rc.local, and insert this above the "exit 0" line: mount --bind /dev/bus /proc/bus ln -s /sys/kernel/debug/usb/devices /proc/bus/usb/devices We also need to add a Udev rule to allow non-root users to access the USB Blaster. Create a file called /etc/udev/rules.d/altera-usb-blaster.rules, and insert the following into it: ATTR{idVendor}=="09fb", ATTR{idProduct}=="6001", MODE="666" В результате имею следующее: * программатор средой обнаруживается, процесс прошивки идет на ура, скорость по сравнению с win_xp та же самая. * signaltap средой обнаруживается, данные с железяки получает. Вот только скорость получения буфера вместо 1с на win_xp порядка 20с. Подскажите, можно ли вообще заставить работать signaltap с программатором "по человечески". И чем же кардинально отличаются quartus_pgm от quartus_stp.
  10. Использую ubuntu 10.10. Поставил QuestaSim 6.6b. Для генерации библиотек использую скрипт: #!/bin/sh TEMP_MY_DIR=`pwd` srcdir=/opt/quartus10.1/quartus/eda/sim_lib/ libdir=/opt/Mentor/questasim/altera if [ -n $libdir ]; then mkdir -p $libdir fi cd $libdir # verilog library compile for p in ./ovi_*; do vdel -lib ${p} -all done vlib ovi_lpm vlib ovi_altera vlib ovi_altera_mf vlib ovi_sgate vlib ovi_apex20ke vlib ovi_apex20k vlib ovi_apexii vlib ovi_cycloneiiils vlib ovi_cycloneiii vlib ovi_cycloneii vlib ovi_cyclone vlib ovi_flex10ke vlib ovi_flex6000 vlib ovi_hardcopyii vlib ovi_hcstratix vlib ovi_maxii vlib ovi_max vlib ovi_mercury vlib ovi_stratix vlib ovi_stratixgx vlib ovi_stratixii vlib ovi_stratixiigx vlib ovi_stratixiii vmap work ovi_lpm vmap work ovi_altera vmap work ovi_altera_mf vlog -work ovi_lpm $srcdir/220model.v -nodebug vlog -work ovi_altera $srcdir/altera_primitives.v -nodebug vlog -work ovi_altera_mf $srcdir/altera_mf.v -nodebug vlog -work ovi_sgate $srcdir/sgate.v -nodebug vlog -work ovi_apex20ke $srcdir/apex20ke_atoms.v -nodebug vlog -work ovi_apex20k $srcdir/apex20k_atoms.v -nodebug vlog -work ovi_apexii $srcdir/apexii_atoms.v -nodebug vlog -work ovi_cycloneiiils $srcdir/cycloneiiils_atoms.v -nodebug vlog -work ovi_cycloneiii $srcdir/cycloneiii_atoms.v -nodebug vlog -work ovi_cycloneii $srcdir/cycloneii_atoms.v -nodebug vlog -work ovi_cyclone $srcdir/cyclone_atoms.v -nodebug vlog -work ovi_flex10ke $srcdir/flex10ke_atoms.v -nodebug vlog -work ovi_flex6000 $srcdir/flex6000_atoms.v -nodebug vlog -work ovi_hardcopyii $srcdir/hardcopyii_atoms.v -nodebug vlog -work ovi_hcstratix $srcdir/hcstratix_atoms.v -nodebug vlog -work ovi_maxii $srcdir/maxii_atoms.v -nodebug vlog -work ovi_max $srcdir/max_atoms.v -nodebug vlog -work ovi_mercury $srcdir/mercury_atoms.v -nodebug vlog -work ovi_stratix $srcdir/stratix_atoms.v -nodebug vlog -work ovi_stratixgx $srcdir/stratixgx_atoms.v -nodebug vlog -work ovi_stratixgx $srcdir/stratixgx_hssi_atoms.v -nodebug vlog -work ovi_stratixgx $srcdir/stratixgx_mf.v -nodebug vlog -work ovi_stratixii $srcdir/stratixii_atoms.v -nodebug vlog -work ovi_stratixiigx $srcdir/stratixiigx_atoms.v -nodebug vlog -work ovi_stratixiigx $srcdir/stratixiigx_hssi_atoms.v -nodebug vlog -work ovi_stratixiii $srcdir/stratixiii_atoms.v -nodebug vmap -del work rm -f modelsim.ini ls -1 > $TEMP_MY_DIR/listlib cd $TEMP_MY_DIR После собираются. Далее прописываю их в modelsim.ini. Привожу часть ini'шника. Видим, что описание библиотек альтеры и библиотек от quest'ы не отличаются: [Library] std = $MODEL_TECH/../std ieee = $MODEL_TECH/../ieee verilog = $MODEL_TECH/../verilog ; to use Vital 1995 version of the standard ; IEEE library must be mapped to the vital1995 library ; one cannot use the vital1995 library directly because it assume that it ; is the IEEE library. If vital1995 and vital2000 are being mixed together then ; ieee must be mapped to vital1995 and vital200 mapped to vital2000 ; ieee = $MODEL_TECH/../vital1995 ; for compatiblity with previously the VITAL2000 maps to a seperate library from IEEE ; if one should not reference vital from both the ieee library and the vital library becasue ; the vital packages are effectively different. If one needs to reference both libraies the ; vital2000 and ieee MUST be mapped to the same library either $MODEL_TECH/../ieee ; or $MODEL_TECH/../vital2000 vital2000 = $MODEL_TECH/../vital2000 std_developerskit = $MODEL_TECH/../std_developerskit synopsys = $MODEL_TECH/../synopsys modelsim_lib = $MODEL_TECH/../modelsim_lib sv_std = $MODEL_TECH/../sv_std mtiAvm = $MODEL_TECH/../avm mtiOvm = $MODEL_TECH/../ovm-2.1.1 mtiUPF = $MODEL_TECH/../upf_lib mtiPA = $MODEL_TECH/../pa_lib floatfixlib = $MODEL_TECH/../floatfixlib mc2_lib = $MODEL_TECH/../mc2_lib ;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers // Source files only for this release ;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release ;mvc_lib = $MODEL_TECH/../mvc_lib ovi_altera = /opt/Mentor/questasim/altera/ovi_altera ovi_altera_mf = $MODEL_TECH/../altera/ovi_altera_mf ovi_apex20k = $MODEL_TECH/../altera/ovi_apex20k ovi_apex20ke = $MODEL_TECH/../altera/ovi_apex20ke ovi_apexii = $MODEL_TECH/../altera/ovi_apexii ovi_cyclone = $MODEL_TECH/../altera/ovi_cyclone ovi_cycloneii = $MODEL_TECH/../altera/ovi_cycloneii ovi_cycloneiii = $MODEL_TECH/../altera/ovi_cycloneiii ovi_flex10ke = $MODEL_TECH/../altera/ovi_flex10ke ovi_flex6000 = $MODEL_TECH/../altera/ovi_flex6000 ovi_hardcopyii = $MODEL_TECH/../altera/ovi_hardcopyii ovi_hcstratix = $MODEL_TECH/../altera/ovi_hcstratix ovi_lpm = /opt/Mentor/questasim/altera/ovi_lpm ovi_max = $MODEL_TECH/../altera/ovi_max ovi_maxii = $MODEL_TECH/../altera/ovi_maxii ovi_mercury = $MODEL_TECH/../altera/ovi_mercury ovi_sgate = $MODEL_TECH/../altera/ovi_sgate ovi_stratix = $MODEL_TECH/../altera/ovi_stratix ovi_stratixgx = $MODEL_TECH/../altera/ovi_stratixgx ovi_stratixii = $MODEL_TECH/../altera/ovi_stratixii ovi_stratixiigx = $MODEL_TECH/../altera/ovi_stratixiigx ovi_stratixiii = $MODEL_TECH/../altera/ovi_stratixiii При работе симулятора, библиотеки обнаруживаются, но файлы не видятся: Т. е. библиотеки, которые идут по умолчанию, например std- работают. А библиотеки, выдернутые из quartus'a и при относительном пути: ovi_max и при абсолютном: ovi_lpm quest'ой- видятся, но без файлов. Самое интересное questa_sim почему-то ищет файлы библиотек на разделе home в директории текущего пользователя Возможно кто-то знает как лечить такую штуку. Заранее спасибо!
  11. И как же вы предлагаете компенсирвоать? Дело ведь не в том, что ломается квадратурность I/Q.
  12. Непонятно, зачем отбрасывается мнимая часть сигнала. Кратность частоте дискретизации большой роли не играет при превышении Fs>=4*Fv, где Fs- частота нарезки после интерполяции, Fv- верхняя граничная спектра. Какой шлейф используется, по цифре, либо через аналоговый тракт? Если по цифре, то с большой степенью вероятности ошибка в реализации. Если шлейф по аналогу, то больше 40дБ и не получите из-за аналогового смесителя.
  13. Без разницы, какая схема формирования: LFDMA, IFDMA. Результат один - при условии, что один абонент формирует данные на более чем одно DFT за время одного FFT, происходит деградация papr. По поводу моего примера numSymbols - это размер DFT. И увеличение этого параметра очень даже влияет на papr: Неужели на форуме нет человека, который если и не занимался подобными проблемами, но по багажу знаний смог бы грамотно объяснить по поводу papr для sc-fdma и lte в частности. :crying:
×
×
  • Создать...