Перейти к содержанию
    

POVRU

Участник
  • Постов

    38
  • Зарегистрирован

  • Посещение

Сообщения, опубликованные POVRU


  1. Ставиться микрополосковая линия задержки (оффтоп - это не ЦОС). Перед ней ставится буферный усилитель с низким выходным сопротивлением. Волновое сопротивление линии должно быть очень низким и на конце должен быть терминатор. АЦП ставятся в линейку через равные промежутки в линии. Еще надо все развести с одинаковой задержкой опорной частоты. АЦП желательно с выходным FIFO и LVDS.

     

    Да сейчас увх, как таковых нет. В любом быстром АЦП оно есть. Оно там T/H на структурных схемах обзывается. А насчет 1 нс - посмотрите в параметры АЦП того же аналог девайса. Там должна быть полоса входного сигнала input signal bandwidth. Т.е. если она что-то около 500 мгц - вам должно подойти. Эти АЦП как раз быстро выхватывают выборку блоком T/H, а затем цифруют медленно. Поэтому дискретизация может быть 50 МГц потолок, а полоса - скажем 300 МГц, т.е. я так понимаю что в этом случае 300 МГц сигнал выбираться нормально должен.

    Это не АЦП это дигитайзер (по сути цифровой смеситель). Полоса все равно будет 25 МГЦ. Насколько я понял автору поста нужна полоса DC - 500 МГц. С ограниченным временем накопления.

  2. в офицальной ветке gcc такой таргет не поддерживает, я не нашел. микроблазе поддерживает а nios ненашел. зато на сайте автора плисин есть дистрибутивы тулсов. оттуда чем не устраивает?

     

    Мне не удалось собрать ими что либо... я железячник, а не программист - мне тяжело разобраться как ими пользоваться. они отличаются от стандартного GCC.

  3. "Чистый VHDL" хорош только теоретически для отладки\моделирования алгоритма или структуры.

    Для эффективного использования архитектуры того или иного чипа все равно придется переделывать.

     

    Нужно понимать, что есть модули кросс-платформенные и нет. Например математические узлы кросс - платформенные, а память, схемы генерации клока приходится делать под конкретную ПЛИС даже в рамках одного вендора.

  4. Переведу на русский предыдущего оратора. Внутрь ПЛИС загоняется модуль генерирующий ключи. Снаружи ставится однократно программируемый микроконтроллер с аналогичным алгоритмом генерации. ПЛИС пишет числа в алгоритм генерации ключей как во внутренний модуль так и в микроконтроллер, периодически считывает ХЭШ из последнего и сравнивает с внутренним. Если ХЭШи не совпадают - баста. Для нормальной работы достаточно одного пина ПЛИС. Алгоритм генерации ключей должен иметь длину сходимости в несколько лет.

  5. это можно и на openrisc сделать. что касается ARM, то ядро Cortex-M1 распространяется бесплатно. но в коммерческих проектах его использовать нельзя, нужна лицензия.

    формат в котором оно поставляется тоже не айс (структурный). Скорость никакая, а напильником доработать - надо быть умнее среды разработки.

    Собирается вообще зашибись: нормально регистры делаются на дистрибутивной памяти, а эта сволочь жрет 4 блока 18к на 16 регистров - замечательная реализация.

  6. Спектр расширяется (растет амплитуда гармоник и их количество) когда скважность отклоняется от 50%. При скважности менее 50% фаза гармоник опережает друг друга снизу вверх, при скважности более 50% запаздывает снизу вверх.

  7. Добрый вечер!

     

    Вот написал модуль для поиска значения, удовлетворяющего некую целевую функцию:

    module (output reg button)
    begin
    
    integer i;
    
    always @(posedge button)
    begin
    for(i=0;i<32'hFFFFFF;i=i+1)
      begin
      if(F(i)==5)/*какие-то действия*/;//F - некая функция
    
    end
    end

     

    Вот этот код синтезировался 5 часов и незасинтезировался.

    Подскажите, пожалуйста, что не так и как с этим бороться.

    По нажатию кнопки 16 млн раз проверить функцию? Этот каламбур ни один синтезатор не потянет. Тут нужно счетчик с накоплением результата делать.

  8. ISE DS все таки поприятнее Кактуса. Можно увидеть внутрянку если есть лицензия. В кактусе все упрятано и ты видишь ни к чему не относящиеся диаграммы. Особенно раздражает генератор абонентов шины. Хочется напильником доработать, ан нет: ошибка - пишите альтере...

  9. А запись типа

    output [7:0] VECT;

    input [2:0] N;

    assign VECT=1<<N;

     

    Разве не является таким же декодером?

     

    а иголки убираются просто

     

    input CLK;

    input [2:0] N;

    output reg [7:0] VECT;

    initial

    VECT=8'h0;

    always@(posedge CLK)

    begin

    VECT<=1<<N;

    end

     

    :lol:

    :maniac:

    не сбивайте человека он хочет понять...

  10. Программеров разогнали, деньги кончились. Сейчас работаю только на основной работе.

    По поводу производительности - сейчас рулят синтетические наборы инструкций с сетевой организацией вычислительных блоков. Одна российская контора пытается сделать это на ПЛИС. Цель - обогнать видеокарту по производительности. Блажен кто верует...

  11. я всегда думал, что MISC это minimal instruction ...

    то есть по русски - безоперандный (или стековый) комп

    http://en.wikipedia.org/wiki/Minimal_instr...on_set_computer

    (кстати там по ссылке есть и OISC one ... - имхо, cool)

     

    примеры таких - всякие лисп/форт/жава компутеры

    для ПЛИС есть ZPU (имхо, интересно, но мне не увы нужно)

    http://www.zylin.com/zpu.htm

    Это ЛАЖА ,а не процессор. 300 ЛУТ таблиц 95 МГц. ААААхренеть производительность. У меня без нагрева шарашит на 240 МГц комерческий Спартан 3е работает. Индустриальные добегают до 300... 350 или 200 МГц на -40 градусов цельсия. Правда ПЛИС на 500 к ушла вся.

  12. Ну почему же - расширить до 64 бит, и при шине внешней памяти шириной 128 и больше бит очень даже смотреться будет, выборка по паре или более инструкций за такт. Хотя лично я предпочитаю variable length, правда ведущую за собой серьезные усложнения декодера и схемы буфера предвыборки. (я так понял, что речь все таки в данном случае идет о суперпроизводительной числомолотилке, а не о CPU общего назначения, перекошенного под что-то конкретное)

    57 бит нужно ядру ,чтобы выполнить инструкцию. Типа откуда взять, как переключить мультиплексор разультата, как положить. С положить напряг - умноженеи и деление результат 64 бита, умножение 9 тактов, сложение 4, деление 12 кароче баги с конвейером.

     

     

    Ну почему же - расширить до 64 бит, и при шине внешней памяти шириной 128 и больше бит очень даже смотреться будет, выборка по паре или более инструкций за такт. Хотя лично я предпочитаю variable length, правда ведущую за собой серьезные усложнения декодера и схемы буфера предвыборки. (я так понял, что речь все таки в данном случае идет о суперпроизводительной числомолотилке, а не о CPU общего назначения, перекошенного под что-то конкретное)

    Во первых систему команд, хочется уложить в 16 бит дабы не юзать БГА корпус. Народный проц хочу сделать.

    Во вторых уже не просто числомолотилка поскольку есть спец узлы под фурье и вейвлет, на числомолотилке раз в 10 медленнее будет.

    Шина 96 бит - читать шесть инструкций за такт дабы работать на СРАМ. С динамикой пока лениво связываться.

    И вообще сейчас есть спартан 3Е - 500к. На нем не далеко уити от числомолотилки..

  13. Народ, тут уже писали и я ПОВТОРЮ! На опенкорес лежит ядро АВР. Уже полгода, как юзаю и нормально пашет Спартан2, 2Е. Слегка доработал на схематике, заработало быстрее. Родное без проблем работает на 50-ти МГц-ах (из ОЗУ, пришлось сделать бутлоадер аля техас)).

  14. А я и не заметил. :)

    Пусть по две такта на команду. Или по одному но с аккумулятором. Ж)

    На спартане 3Е при частоте 300 МГц за один такт ничего кроме логического И или пересылки не выполняется. Сложение 4 такта, умножение от 8 - ми. Деление пока совсем не фурычит. Плиске пришлось радиатор довесить - греется. Система команд пока не для записи во внешнюю память - аж 57 бит на инструкцию.

  15. ЧТо-то дофига. Хардкопи вроде как при меньших партиях окупалась. Или врут буржуи?

    Смотря на какие оригиналы хардкопи. Если виртекс SX на 50 ... 60 кЛе забил всю, то партию надо будет большую заказывать.

  16. А какая стоит задача?

    Вы хотите узнать что LE, RAM раположеные в определенной области "работоспособны"?

    Нужно сделать тестовую сигнатурную прошивку использующую как можно больше частей ПЛИС. Сигнатуры выводить на все пины. Сделал тест для Спартан 2Е 300к. Нашел из партии 30 штук 2 плиски с рабочими частотами в 450 МГц. Порадовался :laughing: . Среди 200 штук не нашел ни одной неисправной, так что тест для проверки исправности в принципе не нужен для индустриальных плисок - они стопудово рабочие :smile3009: . Можно только отобрать самые быстрые.

  17. Тем, кому 100тыс нада, сделают себе микросхему, а не CPLD.

    Уже от 30 килоштук выгодно свою микруху заказать. А верилог на виртексе или стратиксе обкатать.

×
×
  • Создать...