Спасибо, буду пробовать разобраться. Если у кого есть что нибудь по MIMO декодеру, выложите пожалуйста.
Вот такую реализацию деления нашел:
entity intdvd is
Port (
Clk: in std_logic ;
Rst: in std_logic ;
we: in std_logic ;
divident : in STD_LOGIC_VECTOR (23 downto 0) ;
divisor : in STD_LOGIC_VECTOR (11 downto 0) ;
result : out STD_LOGIC_VECTOR (11 downto 0)
);
end intdvd;
architecture Behavioral of intdvd is
signal cur_divident: std_logic_vector(23 downto 0) ;
signal cur_divisor: std_logic_vector(23 downto 0) ;
signal bit_count: integer range 12 downto 0 ;
begin
main: process (Rst,Clk)\
begin
if Rst='1' then
cur_divident<="000000" ;
cur_divisor<="000000" ;
bit_count <= 0 ;
elsif rising_edge(Clk) then
if we='1' then
cur_divident<= divident ;
cur_divisor<= divisor ;
bit_count = 12 ;
else if bit_count>0 then
if cur_divident>cur_divisor then
cur_divident <= cur_divident-cur_divisor ;
result(bit_count-1)<='1' ;
else
result(bit_count-1)<='0' ;
end if ;
for i in 0 to 10 loop
cur_divident(i) <= cur_divident(i+1) ;
end loop ;
end if ;
end if ;
end process main;
end Behavioral;
Но как ее использовать у себя, вот это вопрос.