Перейти к содержанию
    

maximka

Свой
  • Постов

    113
  • Зарегистрирован

  • Посещение

Весь контент maximka


  1. Who can download latest installer of Modelithics COMPLETE Library from https://www.modelithics.com/? share it please,thanks.
  2. who have installer of Modelithics COMPLETE Library for AWR 15?
  3. Трансформатор совсем не хочется использовать.
  4. Основной критерий - простота реализации. Способ регулировки частоты - устроили бы всего 3 значения частоты 980, 1000, 1020 Гц. Способ регулировки амплитуды - устроили бы всего 3 значения амплитуды 35, 40, 45 В. Регулировать хотелось бы или записью какого-нибудь управляющего кода в микросхему или переключением различных резисторов или конденсаторов. Временные и температурные допуски 1%. То что Вы предлагаете - сгенерировать ШИМом прямоугольные импульсы заданной частоты - пропустить через фильтр n-го порядка - получить sin, затем его усилить до нужной амплитуды. Я правильно понял? Если да - то тут требуется достаточно сложная реализация фильтра. Может быть проще с МК подать на ЦАП, а потом усилить сигнал? И если можно приведите пример какойнибудь микросхемы, какая генерирует sin.
  5. Необходимо реализовать генератор синусойды. Параметры сигнала: Амплитуда 40 В. Частота 1 кГц. Возможность изменения амплитуды +-5В Возможность изменения частоты +-20 Гц. Хотелось бы использовать какую-нибудь микросхему генератора синусойды с изменяемой частотой. Амплитуду потом можно увеличить. В общем интересует Ваше мнение на пути реализации данной задачи?
  6. AT89S8252 + Keil + PonyProg

    Еще вопрос. нужно передать в функцию масив. В си я это делал передачей указателя на массив. Могу ди я это сделать в Keile, если нет то как лучше передать массив в функцию.
  7. AT89S8252 + Keil + PonyProg

    Подвязка ЕА помогла. Все работает. Но из Понипрог не работает стирание микросхем. Запись и чтение работают, а вот стирание нет. Что Вы думаете по этому поводу.
  8. AT89S8252 + Keil + PonyProg

    Внимание - наводящий вопрос: А может на ЕА надо подать 1?
  9. AT89S8252 + Keil + PonyProg

    Необходимо освоить сабж. 1. Взял программатор STk200, которым программировал ATmega. 2. Спаял схему. Подключил питание + , -, частоту 8 Мгц. Подключил serial download. 3. В ponyprog, проинвертировал Reset. 4. С какого-то раза все начало программироваться. Пример программы: #include <REG8252.H> unsigned char x; void main(void) { while(1) { P1=0; for(x=1;x<99;x++); P1=0xff; for(x=1;x<99;x++); } } В результате появились импульсы на P3, с частотой 1 МГц примерно. В общем какая-то фигня - ничего не работает. Внимание вопрос: 1. Может я чего-то не подвязал? Такое ощущение что процессор занимается там чем-то своим. 2. Правильная ли программа? 3. Надо ли подключать файл Startup.a51, как я понял там просто все обнуляется и настраивается. 4. Может не работает программатор, хотя все вроде записывает, верифицирует и читает. Но erase, почему-то не работает. 5. Может где-то какую-то галочку не поставил? Спасибо.
  10. AT89S8252

    Меня интересует также и программное обеспечение. Например кто нибудь работал с IAR, и дайте пожалуйста ссылку где обсуждался KEIL?
  11. AT89S8252

    Необходимо написать программу для AT89S8252. До этого программировал АTMEGу в CVAVR, но он не поддерживает AT89S8252. Хотелось бы написать программу, откомпилировать ее, может быть отладить, запрограммировать в системе с помощью кабеля STK-200. Причем все это должно быть в одной оболочке. Вопросы: 1) Подходят ли для этих целей IAR, Keil, AVR Studio 4(помоему он не поддерживал кабель STK-200, но не уверен)? 2) Какой из этих продуктов вы бы посоветовали и почему? 3) Существуют ли другие продукты с описанными функциями, о которых я не упоминул. Спасибо.
  12. Да уж ракеты у нас... Лучше питать импульсами - энергетика, но фронты рубить до уровня влияния паразитных параметров катушек на баланс моста. Да, действительно, так и поступили.
  13. Не знаю что есть PCI-X, но сейчас использую плату ЭЛКУС PCI-429-3, там в описании написано что может работать от 3,3 В.
  14. Это датчик уже готовый, стоит на ракетоносителях ПРОТОН и АНГАРА. Должен выдерживать ускорение 25g. Я согласен что есть более простые спосбы измерения уровня, но эти датчики уже стоят. На счет тока. С одной пары транзисторов, запитываются 4 полумоста, рассчетный ток ориентировачно составит порядка 0,6А.
  15. Тему создавал я для того чтобы освоить VHDL, так что вы как хотите, а я буду задавать глупые вопросы. Про переменные - понял как их использовать, но в чем отличие между shared value и просто value. Мне казалось что ка в языке программирования - глобальные и локальные. Дальше про переменные - помоему в EVITE написано про shared value и value и ничего не сказано про их несинтезируемость, и лично мне непонятно почему их нельзя синтезировать? Если я пишу y<=b after 100 ns; то при синитезе устройства у меня автоматически создасться такой код, что y будет принимать значение b только при условии что оно не менялось 100 ns, или это будет только в симуляторе.
  16. Что такое МЭД? Это датчик уровня. В баке много катушек, по две соеденены в полумост, как только к какой-нибудь подойдет поплавок - измениться индуктивность, соответсвенно появится разбаланс. Просто есть у меня теория, ток через обмотки протекает достаточно большой, соответственно если запитывать sin то выходные транзисторы будут работать не в ключевом, а в усилительном режиме, соответсвенно будут сильнее греться биполярные. Как вы думаете так ли это? И еще вопрос какие транзисторы для этих целей лучше использовать полевые или биполярные?
  17. Что значит несинтезируемый код и почему не надо использовать Shared value? Мне кажется что это очень удобно использовать для какого-нибудь служебного счетчика например.
  18. Есть две индуктивные обмотки, включенные последовательно со средней точкой. Индуктивность обмоток примерно 5 мГн сопротивление около 5 Ом. В данной полумостовой схеме есть два положения, все сбалансировано (все равно), и разбаланс - индуктивность одной из обмоток становится 7 мГн. Сейчас все это дело запитываем прямоугольниками, частотой 1 кГц 9 В. Соответсвенно в средней точке возникает разбаланс. Вопрос - чем лучше запитывать подобные схемы (синусом или прямоугольником) и почему? Спасибо.
  19. Ну эту задачу я решил. Теперь пытаюсь ее усложнить. Данный код самосинхронезирующийся. По протоколу передаются 32 бита и как бы признаком конца слова является пауза после 32 бита длительностью 4 такта (пусть 0,5 мкс). Вопрос, как мне сделать анализатор паузы в 4 такта. Пример: library IEEE; use IEEE.std_Logic_1164.all; entity tg is port( RST,CLK,IN_1,IN_0 : in std_logic; PAUSE: out std_logic; PO : out std_logic_vector(7 downto 0)); end tg; architecture archi of tg is shared variable a,b:integer; signal tmp,time : std_logic_vector(7 downto 0); signal pr,IN_1_prev,IN_0_prev :std_logic; begin prev: process(CLK) -- в данном процессе сохраняем предыдущие значения begin if(rising_edge(CLK)) then IN_1_prev<=IN_1; IN_0_prev<=IN_0; a:=a+1; --тут я пытаюсь сделать счетчик клоков end if; end process prev; shift:process(CLK,RST) begin if (RST='1') then --обнулятор tmp<="00000000";--&"00000000"&"00000000"&"00000000"; elsif(rising_edge(CLK)) then if(IN_1='1' and IN_1_prev='0') --если есть перепад по IN_1, то then tmp <=tmp(6 downto 0)&'1'; --в результирующем слове сдвигаем 1. b:=a; --длительность b= одному такту a:=0; --сбрасываем счетчик клоков end if; if(IN_0='1' and IN_0_prev='0')then tmp <=tmp(6 downto 0)&'0'; --аналогично, только сдвигаем не 1, а 0 b:=a; a:=0; end if; end if; --if(a>2)then pr<='1';end if; end process shift; PO <= tmp;-- after 40 ns;--(std_logic_vector)a; PAUSE<=pr; end archi; В принципе так все вроде работает. Теперь надо сделать счетчик паузы по идее надо условие IF(4*b>a)then pr<='1';end if; но куда его не поставлю все время ошибки. подскажите как сделать анализатор паузы и в чем ошибки? И еще вопрос: чем переменная отличается от сигнала? Спасибо.
  20. А как мне взять предыдущее значение сигнала? Насколько я понимаю в процессе все операции выполняются одновременно.
  21. Задачка для самообучения. Цель - данные из кода Arinc перевести в любой параллельный код. Можно работать и по уровню, но по фронту кажется проще. Из вышепрочитанного пришел к выводу что оптимальный вариант - засинхронизироваться от CLK и ловить перепады сигналов. А кстати, можно ли так писать process( rising_edge(CLK)) ?
  22. Хочу сделать приемник последовательного кода. Есть две линии, если по одной приходит фронт (IN-1), то в выходной параллельный код записываем 1, если по IN_0, то записываем 0. Раньше рисовал все в MAX_PLUS !! c использованием примитивов и насколько помню, что для того чтоб дизайндоктор не ругался все должно быть синхронно, тоесть все это должно синхронизироваться CLK. Quartus 2 пишет ошибки multiply clock edge. Тоесть ему не нравиться IN_1'event и IN_0'event, я и сам чувствую что что-то здесь не то, подскажите как решить поставленную задачу и объясните в чем ошибка. Спасибо. library IEEE; use IEEE.std_Logic_1164.all; entity tg is port( CLK,IN_1,IN_0 : in std_logic; PO : out std_logic_vector(7 downto 0)); end tg; architecture archi of tg is signal tmp : std_logic_vector(7 downto 0); begin shift: process(clk) begin if (IN_1'event and IN_1='1') then tmp <=tmp(6 downto 0)&'1'; end if; if (IN_0'event and IN_0='1') then tmp <=tmp(6 downto 0)&'0'; end if; end process; PO <= tmp; end archi;
  23. Существуют емкостные дискретные датчики. В точке контакта в завизимости от диэлектрической проницаемости среды изменяется емкость датчика. Также есть дискретные оптические датчики позволяющие определить границу раздела двух сред.
  24. Есть преобразователи Частота-напряжение. Отечественные. Делает завод Альфа. Если нужно подробнее посмотрю название напишу.
×
×
  • Создать...