Перейти к содержанию
    

Читал, что в 10 версии Quartus, встроенного симулятора уже не будет. Поэтому хочу спросить, имеется ли в ModelSim - Timing simulation?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Читал, что в 10 версии Quartus, встроенного симулятора уже не будет. Поэтому хочу спросить, имеется ли в ModelSim - Timing simulation?
Разумеется, да. Подстёгиваете к проекту .sdf и вперёд.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А это щастье началось только с 10й версии? Я имею ввиду Timing simulation в ModelSim? Потому что в QuartusII Handbook Version 9.1 Volume3: Verification что-то я не нахожу такого? Может к окулисту пора? Ткните носом в соответствующий раздел, пожалуйста.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А это щастье началось только с 10й версии? Я имею ввиду Timing simulation в ModelSim?
Не знаю, о чём вы говорите. В ModelSim временная cимуляция была всегда.

 

Потому что в QuartusII Handbook Version 9.1 Volume3: Verification что-то я не нахожу такого? Может к окулисту пора? Ткните носом в соответствующий раздел, пожалуйста.
Если вы спрашиваете про ModelSim, то зачем ищете что-то в доке на Квартус?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если вы спрашиваете про ModelSim, то зачем ищете что-то в доке на Квартус?

Имею ввиду соотношение Functional Simulation - Timing Simulation родного Квартусовского симулятора.

Functional Simulation - без учёта задержек на кристалле

Timing Simulation - с учётом оных.

 

А в доке на Квартус ищу, потому что есть в ней раздел 3.Mentor Graphics ModelSim Support.

 

Но намёк понял - буду почитать Modelsim-овые доки.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если вы спрашиваете про ModelSim, то зачем ищете что-то в доке на Квартус?

 

А там написано, как достучаться до того или иного симулятора из Квартуса.

А как с симулятором работать - это да, к симулятору.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Простите, а не подскажете как сгенерить этот .sdf файл??? или все на автомате происходит?? Вот я, например, (quartus9.1 sp1, modelsim 6.5b altera SE, VHDL) запускаю EDA_RTL_Simulations: открывается моделсим, запускаю тестбенч (пока пытаюсь разобраться все делаю в ручную :_( ),запускается функциональная симуляция, нажимаю кнопочку на панели (Expanded_time_deltas_mode), вроде показывает с задержками, но они не совпадают с теми что предлагает встроенный симулятор квартуса (причем очень не совпадают). Пытаюсь присобачить sdf файл, но его нет в папках квартуса, есть тока sdo. Прикрепляю последний, но тот не хотит работать в RTL, тока в Gate_level, но там фиг че разбери. Помогите плиз! что нужно сделать, чтоб времянка заработала!?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Простите, а не подскажете как сгенерить этот .sdf файл??? или все на автомате происходит?? Вот я, например, (quartus9.1 sp1, modelsim 6.5b altera SE, VHDL) запускаю EDA_RTL_Simulations: открывается моделсим, запускаю тестбенч (пока пытаюсь разобраться все делаю в ручную :_( ),запускается функциональная симуляция, нажимаю кнопочку на панели (Expanded_time_deltas_mode), вроде показывает с задержками, но они не совпадают с теми что предлагает встроенный симулятор квартуса (причем очень не совпадают). Пытаюсь присобачить sdf файл, но его нет в папках квартуса, есть тока sdo. Прикрепляю последний, но тот не хотит работать в RTL, тока в Gate_level, но там фиг че разбери. Помогите плиз! что нужно сделать, чтоб времянка заработала!?

 

EDA_RTL_Simulations это симуляция без учёта задержек, чтобы получить задержки надо делать Gate_level.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Читал, что в 10 версии Quartus, встроенного симулятора уже не будет

 

Правда, что ли? :crying:

А почему?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Простите, а не подскажете как сгенерить этот .sdf файл??? или все на автомате происходит?? Вот я, например, (quartus9.1 sp1, modelsim 6.5b altera SE, VHDL) запускаю EDA_RTL_Simulations: открывается моделсим, запускаю тестбенч (пока пытаюсь разобраться все делаю в ручную :_( ),запускается функциональная симуляция, нажимаю кнопочку на панели (Expanded_time_deltas_mode), вроде показывает с задержками, но они не совпадают с теми что предлагает встроенный симулятор квартуса (причем очень не совпадают). Пытаюсь присобачить sdf файл, но его нет в папках квартуса, есть тока sdo. Прикрепляю последний, но тот не хотит работать в RTL, тока в Gate_level, но там фиг че разбери. Помогите плиз! что нужно сделать, чтоб времянка заработала!?

У меня сейчас подобная проблема: EDA сгенерил .vo файл и .sdo (это и есть вроде как sdf), подключил все либы, свой тест и .vo файл. Откомпилировалось все нормально, НО при запуске моделирования:

# ** Error: (vsim-SDF-3894) LVDS_port_2_900mv_0c_v_slow.sdo: Compiled SDF file was not found.
# ** Error: (vsim-7) Failed to open SDF file "LVDS_port_2_900mv_0c_v_slow.sdo" in read mode.

Может его подцепить куда надо, хотя должен бы автоматически цепляться?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Может его подцепить куда надо, хотя должен бы автоматически цепляться?

в папку моделсимовского проекта его бросьте, должен найти. если имена не перепутали.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 bogaev_roman

проверьте путь для вашего sdf в $sdf_annotate

в нетлисте должно быть что-то типа такого:

initial $sdf_annotate("../netgen/par/name.sdf");

папка с тб и скриптом на запуск у меня в папке прожекта.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

to Kuzmi4, vadimuzzz, спасибо за подсказку.

Да, действительно, чтоб моделсим этот файл схавал требуется его либо в рабочую папку слить, либо прописать путь в .vo файле в строке с sdf_annotate. Это, кстати, и в мануале для квартуса в разделе simulation/modelsim описано подробно.

Только вот все равно сначала лезут предупреждения, типа

LVDS_port_v.sdo(484): Can't resolve SDF pathname "ddioreg_hi" - instance not found

Ну а затем все сыплется

LVDS_port_v.sdo(1303): Failed to find INSTANCE 'ddioreg_hi'

Пойду дальше мануал курить, может библиотеки кикие не подрублены...

ЗЫ Кстати по мануалу от марта 2009 по квартус 9.0 - совместимость для моделирования с версией моделсима не ниже 6.4а. А в описании для квартуса 10.0 про совместимость вообще ничего не сказано...

Изменено пользователем bogaev_roman

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 bogaev_roman

а у вас либы альтерные подрублены ? Потому что можно предположить/телепатировать :laughing: что не хвататает DDR компонента, а его кажись альтеры со своей спец.либы берут по аналогии с "SIMPRIM simulation library" для хилых.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 bogaev_roman

а у вас либы альтерные подрублены ? Потому что можно предположить/телепатировать :laughing: что не хвататает DDR компонента, а его кажись альтеры со своей спец.либы берут по аналогии с "SIMPRIM simulation library" для хилых.

Подключены: altera_primitives, stratixiv_atoms, altera_mf (там как раз и находятся все компоненты мегафункции DDR, altlvds, altpll и т.д.), ну и они же подключены на функциональном моделировании, где все запускается. Ну а вообще в мануле написано, что достаточно только stratixiv_atoms (в моем случае)

 

Так и не понял, в чем была проблема, но просто создал библиотеку stratixiv_ver, туда закинул файлы vo, sdo (путь аннотации по умолчанию), тест на .v, и библиотеки stratixiv_atoms, altera_primitives. Точно так как в мануале написано - моделирование пошло. Похоже подрубал лишние библиотеки, а они как-то конфликтовали между собой.

Спасибо Всем за помощь.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...