Перейти к содержанию
    

А где должна находиться библиотека UNISIM, когда я пытаюсь с помощью ModelSim SE смоделировать проект для Xilinx.

В ModelSim её нет.

В Xilinx тоже нет библиотеки для ModelSim.

Её надо откуда-то поставить ?

 

 

P.S. В очередной раз пытаюсь начать работать с ModelSim и в опять убеждаюсь

что Aldec лучше.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

\Xilinx\vhdl\src\unisims\

 

альдек проще, но некоторые вещи в квеста сим рулят.

 

PS не забудьте либы скомпилять, а в альдеке они предкомпиленные идут :)

удачи

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А где должна находиться библиотека UNISIM, когда я пытаюсь с помощью ModelSim SE смоделировать проект для Xilinx.

В ModelSim её нет.

В Xilinx тоже нет библиотеки для ModelSim.

Её надо откуда-то поставить ?

 

 

P.S. В очередной раз пытаюсь начать работать с ModelSim и в опять убеждаюсь

что Aldec лучше.

Да, меня тоже не порадовала эта морока с библиотеками в МоделСим. Проблема решается следующим образом:

 

1)Самый простой вариант. Если Вы используете поделки от Xilinx, следовательно у Вас есть установленный ISE. Итак, запускаете его и в дереве проекта выбираете название микросхемы. Затем в нижнем левом окне пункт Design Utilites, а там Compile HDL simulation libraries. Правой кнопкой мыши->properties и там настраиваете все как положено. Запускаете компиляцию. После ее окончания библиотеки будут по тем путям, которые Вы им задали. Еще необходимо снять атрибут только чтение с файла modelsim.ini в папке, где установлен Modelsim, после компиляции туда будут прописаны пути к библиотекам unisim, simprim, xilinxcorelib - можно прописать самому. К сожалению у меня такой путь не сработал, в отчете ISE говорит, что указанные, для компиляции папки имеют аттрибут только чтение :cranky:. Что это за глюк я разобраться не смог.

 

2)Можно попробовать скачать эти библиотеки с сайта Xilinx для версии XE, и вручную прописать путь к ним. Я попробовал. Скачал для XE 6.0a, а у меня стоит 6.2с SE Модельсим. Не сработало, может версия не та :(. Смотрите также пост #5 здесь http://electronix.ru/forum/index.php?showtopic=12923

 

3)То что помогло мне. В папке, где установлен ISE /bin/nt есть програмка compxlib.exe, которая и выполняет компиляцию. Для ее запуска можно написать *.bat. В моем случае сработал такой: compxlib -w -s mti_se -arch all -lib all -l vhdl -dir C:\Xilinx_lib -verbose. После чего в папке Xilinx_lib появились все библиотеки, ну а затем подправить modelsim.ini

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да, менятоже не порадовала эта морока с библиотеками в МоделСим.

Да нету в МоделСим с библиотеками никакой мороки. Все прозрачно, как слеза ребенка.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

3)То что помогло мне. В папке, где установлен ISE /bin/nt есть програмка compxlib.exe, которая и выполняет компиляцию. Для ее запуска можно написать *.bat. В моем случае сработал такой: compxlib -w -s mti_se -arch all -lib all -l vhdl -dir C:\Xilinx_lib -verbose. После чего в папке Xilinx_lib появились все библиотеки, ну а затем подправить modelsim.ini

 

Вот это и мне помогло. Спасибо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

почему в случае исспользования compxlib все библиотеки:

simprim.lib , unisim.lib и т.д. имеют нулевой размер ?

 

и если можно, подробнее о корекции ini файла.

моделсим скомпелированных библиотек вообще не видит.

я правил как:

[Library]

unisim = $XILINX/../unisim

simprim = $XILINX/../simprim

xilinxcorelib = $XILINX/../XilinxCoreLib

Изменено пользователем bychkov_vladimir

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да, меня тоже не порадовала эта морока с библиотеками в МоделСим.

Да нету в МоделСим с библиотеками никакой мороки. Все прозрачно, как слеза ребенка.

Ну до слез, слава Богу, дело не доходило :). Вообщем когда я этот путь проходил в первый раз, я провозился полдня в поисках решений.

 

почему в случае исспользования compxlib все библиотеки:

simprim.lib , unisim.lib и т.д. имеют нулевой размер ?

А что выдается в логе программы (ключ -log)?? simprim.lib , unisim.lib : здесь опечатка? У меня compxlib создает папки simprim, unisim, еще несколько, в которых файлы с расширением *.dat и *.asm, расширений lib нету.

 

и если можно, подробнее о корекции ini файла.

моделсим скомпелированных библиотек вообще не видит.

я правил как:

[Library]

unisim = $XILINX/../unisim

simprim = $XILINX/../simprim

xilinxcorelib = $XILINX/../XilinxCoreLib

У меня работает так:

unisim = $MODEL_TECH/../xilinx/vhdl/unisim

simprim = $MODEL_TECH/../xilinx/vhdl/simprim

xilinxcorelib = $MODEL_TECH/../xilinx/vhdl/xilinxcorelib

Причем папка xilinx непосредственно внутри $MODEL_TECH.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

в логе программы выдается следующее : (программы compxlib)

применительно к одной из библиотек

"START_COMPILE<unisim>"

ALIB: Library `unisim' attached

unisim = C:\Xilinx_lib\unisim\unisim.lib

AMAP: Adding library mapping `C:\Xilinx_lib\unisim\unisim.lib'

Copyright © 2000 Aldec, Inc. All Rights Reserved.

Vhdl Compiler, build

VLM Cannot open the library.cfg file: `C:\library.cfg'

Copyright © 2000 Aldec, Inc. All Rights Reserved.

Vhdl Compiler, build

VLM Cannot open the library.cfg file: `C:\library.cfg'

Copyright © 2000 Aldec, Inc. All Rights Reserved.

Vhdl Compiler, build

VLM Cannot open the library.cfg file: `C:\library.cfg'

"END_COMPILE<unisim>"

==============================================================================

 

> Log file C:\Xilinx_lib\unisim\cxl_unisim.log generated

> Library mapping successful, setup file(s) modelsim.ini updated

 

compxlib[unisim]: No error(s), no warning(s)

 

 

В каталоге C:/Xilinx_lib только 5 ть дирректорий: abel,cpld,simprim,unisim, XilinxCorelib.

состав папки simprim - к примеру:

.xil_info без расширения.

simprim.lib - 0 байт

cxl_simprim.log

cxl_smartmodel.log

состав двух последних логов соответственно следующий :

"START_COMPILE<simprim>"

ALIB: Library `simprim' attached

simprim = C:\Xilinx_lib\simprim\simprim.lib

AMAP: Adding library mapping `C:\Xilinx_lib\simprim\simprim.lib'

Copyright © 2000 Aldec, Inc. All Rights Reserved.

Vhdl Compiler, build

VLM Cannot open the library.cfg file: `C:\library.cfg'

Copyright © 2000 Aldec, Inc. All Rights Reserved.

Vhdl Compiler, build

VLM Cannot open the library.cfg file: `C:\library.cfg'

Copyright © 2000 Aldec, Inc. All Rights Reserved.

Vhdl Compiler, build

VLM Cannot open the library.cfg file: `C:\library.cfg'

"END_COMPILE<simprim>"

 

----------------------------------------------------------------------------

AMAP: Adding library mapping `C:\Xilinx_lib\simprim\simprim.lib'

"START_COMPILE:<smartmodel(simprim)>"

Copyright © 2000 Aldec, Inc. All Rights Reserved.

Vhdl Compiler, build

VLM Cannot open the library.cfg file: `C:\library.cfg'

Copyright © 2000 Aldec, Inc. All Rights Reserved.

Vhdl Compiler, build

VLM Cannot open the library.cfg file: `C:\library.cfg'

"END_COMPILE:<smartmodel(simprim)>"

-----------------------------------------------------------------

аналогичен состав собственно и других директорий соотв. библиотек.

никаких .asm .dat в помине нет.

состав батника : compxlib -w -s mti_se -arch all -lib all -l vhdl -dir C:\Xilinx_lib -verbose

Изменено пользователем bychkov_vladimir

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

[.....]simprim.lib - 0 байт[.....]аналогичен состав собственно и других директорий соотв. библиотек[.....]

У меня была та-же фигня. Я проблему решил. Мой батник:

%XILINX%\bin\nt\compxlib -s mti_se -arch all -lib all -l all -dir E:\FPGA_design\QuestaSim_6.1e\ModelsimLibCompile -p E:\FPGA_design\QuestaSim_6.1e\win32\ -log compxlib.log -w

В нём:

E:\FPGA_design\QuestaSim_6.1e\ModelsimLibCompile

- это путь к будущим скомпилённым библиотекам, он может быть произвольным.

E:\FPGA_design\QuestaSim_6.1e\win32\

- путь к папке win32 от моделсима-квестасима. Это для того чтобы исходники компилил ваш конкретный моделсим-квестасим, а не ксилинкс ИСЕ.

Возможно потребуется вручную поправить файл modelsim.ini, добавить в него пути к свежескомпилённым библиотекам в конец секции [Library]. У меня так:

; VHDL Section
UNISIM = E:\FPGA_design\QuestaSim_6.1e\ModelsimLibCompile\unisim
SIMPRIM = E:\FPGA_design\QuestaSim_6.1e\ModelsimLibCompile\simprim
XILINXCORELIB = E:\FPGA_design\QuestaSim_6.1e\ModelsimLibCompile\XilinxCoreLib
AIM = E:\FPGA_design\QuestaSim_6.1e\ModelsimLibCompile\abel\aim
PLS = E:\FPGA_design\QuestaSim_6.1e\ModelsimLibCompile\abel\pls
CPLD = E:\FPGA_design\QuestaSim_6.1e\ModelsimLibCompile\cpld

; Verilog Section
UNISIMS_VER = E:\FPGA_design\QuestaSim_6.1e\ModelsimLibCompile\unisims_ver
UNI9000_VER = E:\FPGA_design\QuestaSim_6.1e\ModelsimLibCompile\uni9000_ver
SIMPRIMS_VER = E:\FPGA_design\QuestaSim_6.1e\ModelsimLibCompile\simprims_ver
XILINXCORELIB_VER = E:\FPGA_design\QuestaSim_6.1e\ModelsimLibCompile\XilinxCoreLib_ver
AIM_VER = E:\FPGA_design\QuestaSim_6.1e\ModelsimLibCompile\abel_ver\aim_ver
CPLD_VER = E:\FPGA_design\QuestaSim_6.1e\ModelsimLibCompile\cpld_ver

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо огромное за помощь !

Все закрутилось и заработало !

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У меня была та-же фигня. Я проблему решил. Мой батник:

%XILINX%\bin\nt\compxlib -s mti_se -arch all -lib all -l all -dir E:\FPGA_design\QuestaSim_6.1e\ModelsimLibCompile -p E:\FPGA_design\QuestaSim_6.1e\win32\ -log compxlib.log -w

 

 

Все откопилил, ошибок не было, только почему в названиях файлов некоторых директорий (в названиях которых есть "_ver") иероглифы?

post-1176-1162562002_thumb.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сделав всё это моделсим на всегда запомнит путь к библиотекам? или для каждого нового проекта надо каждый раз указывать?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сделав всё это моделсим на всегда запомнит путь к библиотекам? или для каждого нового проекта надо каждый раз указывать?

 

Настраивается один раз и до следующей переустановки ModelSim/QuestaSim.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Все откопилил, ошибок не было, только почему в названиях файлов некоторых директорий (в названиях которых есть "_ver") иероглифы?

Это у всех так.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Все откопилил, ошибок не было, только почему в названиях файлов некоторых директорий (в названиях которых есть "_ver") иероглифы?

Вас это не должно волновать. Это внутренне дело симулятора.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...