Перейти к содержанию
    

Modelsim, ограничить компиляцию только синтезируемыми конструкциями

Симуляторы например Modelsim и не только он, позволяют в тестируемом модуле писать хоть #100 хоть a <= 1; a <= 2, но есть ли возможность это как то ограничить? Включить некоторый strict mode? Чтобы начинающие не пытались учиться, вставляя туда черти что, а по факту оно работать не станет в ПЛИС. Или тут надо лишь заставлять компилировать в САПР для некоторой ПЛИС?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

4 minutes ago, AVR said:

Симуляторы например Modelsim и не только он, позволяют в тестируемом модуле писать хоть #100 хоть a <= 1; a <= 2, но есть ли возможность это как то ограничить? Включить некоторый strict mode? Чтобы начинающие не пытались учиться, вставляя туда черти что, а по факту оно работать не станет в ПЛИС. Или тут надо лишь заставлять компилировать в САПР для некоторой ПЛИС?

Конечно нельзя. Никто не знает, что есть синтезируемая конструкция, а что - несинтезируемая. Тем более, ещё и ограничивать ПЛИСами. HDL не для ПЛИС делались, и даже не для синтеза, HDL делались для симуляции. Это уже позже Synopsys научились синтезировать некоторое подмножество, которое со временем расширялось, и расширяется до сих пор, я полагаю.

9 minutes ago, AVR said:

Чтобы начинающие не пытались учиться, вставляя туда черти что

Надо изучать физику и схемотехнику.

Кстати, могу посоветовать проверять синтезируемость, запуская компилятор синтезатора. Не полностью синтез, а только компиляцию.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, one_eight_seven said:

Кстати, могу посоветовать проверять синтезируемость, запуская компилятор синтезатора. Не полностью синтез, а только компиляцию

Да, спасибо за ответы. Всё как я и предполагал. А синтезируемость в базисе ПЛИС действительно проверяю на стадии синтеза САПР.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

можно немного ограничить подключив lint и настроив его на синтезируемый код

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...