Перейти к содержанию
    

Efinix Trion FPGA кто нибудь слышал?

В 30.08.2022 в 13:05, Realking сказал:

Пытаюсь собрать проект для Trion T20 Dev Kit (проект взят у них же). Обычный счетчик.

Оффтоп - Вам Efinix дистрибутив с лицензией предоставил?

А то я купил плату, (официально, через Диджик) , но при попытке получить софт для нее меня послали лесом - сказали, что подозревают, что я разрабатываю оборудование для нефтегаза (с чего бы???), и поэтому "вот тебе санкции, а не софт".

Это летом прошлого года было, если что.

 

А вообще, у Efinix мне не нравится то, что "логический элемент" может быть или классическим логическим элементом, или ресурсом разводки. И из-за этого доступная логическая емкость девайса будет сильно зависеть от проекта...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

14 hours ago, StewartLittle said:

Оффтоп - Вам Efinix дистрибутив с лицензией предоставил?

А то я купил плату, (официально, через Диджик) , но при попытке получить софт для нее меня послали лесом - сказали, что подозревают, что я разрабатываю оборудование для нефтегаза (с чего бы???), и поэтому "вот тебе санкции, а не софт".

Это летом прошлого года было, если что.

 

А вообще, у Efinix мне не нравится то, что "логический элемент" может быть или классическим логическим элементом, или ресурсом разводки. И из-за этого доступная логическая емкость девайса будет сильно зависеть от проекта...

Купил плату. Зарегился. Не помню вводил код с коробки или нет.

Дали доступ к сапорту.

Там скачал. Лицензии не требует.

Могу дать дистрибутив (пиши в личку). Может прокатит

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

15 hours ago, StewartLittle said:

А вообще, у Efinix мне не нравится то, что "логический элемент" может быть или классическим логическим элементом, или ресурсом разводки. И из-за этого доступная логическая емкость девайса будет сильно зависеть от проекта...

А может и наоборот. Они пишут, что это круто )

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

22 hours ago, Realking said:

А может и наоборот. Они пишут, что это круто )

Скорее всего эти товарищи объединили внутриблочную и общую коммутацию в одно. В классических архитектурах внутриблочные коммутаторы будут простаивать, если элементы не используются. У этих получается, что относительная утилизация трассировки повышается, стоимость ПЛИСины снижается. Может просто получаться, что микросхема для впихивания дизайна будет дешевле, хоть и формально максимальный процент утилизации будет ниже. Все сказанное не является инвестиционной рекомендацией:)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 8/30/2022 at 1:05 PM, Realking said:

Синтезатор никак не хочет stopn использовать как сигнал разрешения.

А в чем это выражается? Лог синтеза можно увидеть?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 8/30/2022 at 1:05 PM, Realking said:

Синтезатор никак не хочет stopn использовать как сигнал разрешения

а если попробовать заменить

 "elsif clk'event and clk = '1' then"

на более "человеческое"

 "elsif rising edge clk then"  ?
Где-то в темах пробегало, что некоторые синтезаторы от китайских товарищей порой не всё хотят понимать...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hours ago, gosha-z said:

А в чем это выражается? Лог синтеза можно увидеть?

В количестве FF и LUT. Да в логе. А в видео от них все гуд.

42 minutes ago, Yuri124 said:

а если попробовать заменить

 "elsif clk'event and clk = '1' then"

на более "человеческое"

 "elsif rising edge clk then"  ?
Где-то в темах пробегало, что некоторые синтезаторы от китайских товарищей порой не всё хотят понимать...

Да и верилог пробовал. Таже фигня(

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

21 minutes ago, Realking said:

Да в логе

Так а где лог?

1 hour ago, Yuri124 said:

Где-то в темах пробегало, что некоторые синтезаторы от китайских товарищей порой не всё хотят понимать...

Ну у Efinity ядро от Verific...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, gosha-z said:

Так а где лог?

Spoiler

Synthesis report for project new_project
Generated at: Aug 30, 2022 14:56:54
Copyright (C) 2013 - 2021 Efinix Inc. All rights reserved.

### ### ### ### ### ### ### ### ### ### ### ### ### ### ###

Top-level Entity Name : counter
### ### File List (begin) ### ### ###
D:/Distrib\Work\Efinix\T20EvalBoard\counter.sv
### ### File List (end) ### ### ###

### ### Pre-optimizations and mapping (begin) ### ### ###

Mapping success.
### ### Mapping (end) ### ### ###

### ### Post-optimizations and re-synthesis (begin) ### ### ###

Post-optimizations and re-synthesis success.
### ### Post-optimizations and re-synthesis (end) ### ### ###

### ### EFX_FF CE enables (begin) ### ### ###
Total number of enable signals: 1
Enable signal <stopn>, number of controlling flip flops: 31
### ### EFX_FF CE enables (end) ### ### ###

### ### EFX_FF SR set/reset (begin) ### ### ###
Total number of enable signals: 1
SR signal <setn>, number of controlling flip flops: 36
### ### EFX_FF SR set/reset (end) ### ### ###

### ### Module Resource Usage Distribution Estimates (begin) ### ###

**Note: some resources maybe grouped under different hierarchy due to optimization and LUT mapping

Module                   FFs        ADDs        LUTs      RAMs DSP/MULTs
----------------         ---        ----        ----      ---- ---------
counter:counter       36(36)        0(0)      52(52)      0(0)      0(0)

### ### Module Resource Usage Distribution Estimates (end) ### ###

### ### Clock Load Distribution Report (begin) ### ###

 Clock     Flip-Flops   Memory Ports    Multipliers
 -----     ----------   ------------    -----------
   clk             36              0              0

### ### Clock Load Distribution Report (end) ### ###

### ### EFX Flow Options (begin) ### ### ###

family : Trion
device : T20F256
project : new_project
project-xml : D:/Distrib/Work/Efinix/T20EvalBoard/new_project.xml
root : counter
I : D:/Distrib/Work/Efinix/T20EvalBoard
output-dir : D:/Distrib/Work/Efinix/T20EvalBoard/outflow
work-dir : D:/Distrib/Work/Efinix/T20EvalBoard/work_syn
write-efx-verilog : D:/Distrib/Work/Efinix/T20EvalBoard/outflow/new_project.map.v
binary-db : D:/Distrib/Work/Efinix/T20EvalBoard/new_project.vdb
insert-ios : 0
max-carry-cascade : 240
max_mult : -1
max_ram : -1
seq_opt : 0
mode : speed
veri_options : verilog_mode=verilog_2k,vhdl_mode=vhdl_2008

### ### EFX Flow Options (end) ### ### ###

### ### Resource Summary (begin) ### ### ###
INPUT  PORTS    :     3
OUTPUT PORTS    :     5

EFX_LUT4        :     52
   1-2  Inputs  :     13
   3    Inputs  :     14
   4    Inputs  :     25
EFX_FF          :     36
EFX_GBUFCE      :     1
### ### Resource Summary (end) ### ### ###

Plain synthesis (without verilog dump and post-map checks) time : 0s
Elapsed synthesis time : 0s

Но я не прав... Енабле то он сделал правильно вроде. Но откуда столько лутов? Такое ощущение, что не поддерживается режим счетчика, а делается на логике.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, Realking said:

Но я не прав... Енабле то он сделал правильно вроде. Но откуда столько лутов? Такое ощущение, что не поддерживается режим счетчика, а делается на логике.

вы там асинхронный счетчик что ли ждали? дык этого лет 25 как уже в плисах нет. в плисах счетчик это сумматор с единицей + разрешение счета. Разрешение счета делается либо как трушный clkena, но в современных плис это проблема, либо как мультиплексор по входу каждого триггера, либо, в случае счетчика, как маскирование единицы при сложении. 

Если у этих ребят нет быстрого сумматора в ячейке, то там все делается из однобитового полного сумматора на лютах. А там да, один лют на сумму, второй лют на перенос) классика же)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

22 minutes ago, des00 said:

вы там асинхронный счетчик что ли ждали? дык этого лет 25 как уже в плисах нет. в плисах счетчик это сумматор с единицей + разрешение счета. Разрешение счета делается либо как трушный clkena, но в современных плис это проблема, либо как мультиплексор по входу каждого триггера, либо, в случае счетчика, как маскирование единицы при сложении. 

Если у этих ребят нет быстрого сумматора в ячейке, то там все делается из однобитового полного сумматора на лютах. А там да, один лют на сумму, второй лют на перенос) классика же)

Да я и не спорю... Я про асинхронные счетчики, забыл 20 лет назад, когда перешел на ПЛИС. Я говорю, что результат в обучающем видео совершенно другой. Вот это и не понятно

 

image.png.0e86f5d54893e69bad600a587d92569c.png

 

Почему логических элементов 59, а не 36 ??? Лут и суматор в одном элементе.

В видео - 36/19728

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

19 hours ago, gosha-z said:

А если Enable завести на CTRL-вход?

Если вообще убрать Enable, то:

[EFX-0000 INFO] Resource Summary

[EFX-0000 INFO] ===============================

[EFX-0000 INFO] EFX_ADD : 30

[EFX-0000 INFO] EFX_FF : 36

[EFX-0000 INFO] EFX_GBUFCE : 1

[EFX-0000 INFO] ===============================

Все норм, как надо.

А вот с енабле (((

[EFX-0000 INFO] Resource Summary

[EFX-0000 INFO] ===============================

[EFX-0000 INFO] EFX_LUT4 : 52

[EFX-0000 INFO] EFX_FF : 36

[EFX-0000 INFO] EFX_GBUFCE : 1

[EFX-0000 INFO] ===============================

 

Куда делся сумматор????

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

16 hours ago, Realking said:

Почему логических элементов 59, а не 36 ??? Лут и суматор в одном элементе.

В видео - 36/19728

В видео я посмотреть не могу, надо регистрироваться, софта у меня тоже нет. На сайте подробной информации о структуре ячейки нет и как там реализован clkena тоже. Вполне возможно он там групповой, через глобальные линии или инвертора нет или еще какая странная настройка в софте есть. Или в демке просто приложили другую таблицу результатов от другого кода) А так, там мультиплексор стоит по выходу сумматора до входа триггера скорее всего. 

Можно еще попробовать переписать ваш код проверив 

cnt <= cnt + to_integer(stopn); -- или как его там эта функция которая типы приводит)

или просто 

if stopn = '1' then
	cnt <= cnt + 1;
end if;

может синтезатор у них формальный и ему нужно подсказать. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hours ago, des00 said:

Можно еще попробовать переписать ваш код проверив 

cnt <= cnt + to_integer(stopn); -- или как его там эта функция которая типы приводит)

Прикольно...

cnt <= cnt + stopn; (verilog)

нормально сработало, но это и понятно, чистый сумматор.

со счетчиком и его разрешением - проблемы

### ### Resource Summary (begin) ### ### ###
INPUT  PORTS    :     3
OUTPUT PORTS    :     5

EFX_ADD         :     31
EFX_FF          :     36
EFX_GBUFCE      :     1
### ### Resource Summary (end) ### ### ###

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...