Перейти к содержанию
    

2 hours ago, Lmx2315 said:

Вы каким симулятором пользуетесь? 

Я могу этот блок FTT в матлаб отправить или в моделсим.

gen_cos_tb.txt

Изменено пользователем Icedevil777228

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

18 минут назад, Icedevil777228 сказал:

Я могу этот блок FTT в матлаб отправить или в моделсим.

вот в моделсиме и смотрите, а ещё почитайте документацию на Altera FFT (FFT IP Core User Guide) - ищется в гугле,там входы выходы написаны и графики есть когда что куда подавать, также есть altera forum . Там в поиске набиваете FFT и смотрите что люди пишут.

Но неплохо бы самому представлять что должно быть на выходе FFT , а то может всё будет - Ок , а вы и не поймёте.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, Icedevil777228 сказал:

Вообщем

Запомните простое мнемоническое правило: "В общем, слова "вообщем" не существует. Вообще не существует".

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hours ago, Lmx2315 said:
Quote

Вы каким симулятором пользуетесь? 

 

 .  Я вот думаю над этим, а где и как лучше проверить работу блока ?

Изменено пользователем Icedevil777228

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 минуты назад, Icedevil777228 сказал:

 .  Я вот думаю над этим, а где и как лучше проверить работу блока ?

Соберите схему в квартусе, скомпилируйте её. Компилируйте библиотеки для моделсима. Откройте симулятор из квартуса и изучайте.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

6 hours ago, Lmx2315 said:

Соберите схему в квартусе, скомпилируйте её. Компилируйте библиотеки для моделсима. Откройте симулятор из квартуса и изучайте.

Я первый день работаю в 17м Квартусе, реально не могу просимулировать выдает ошибку, может вы знаете....HOzQmpp76hk.jpg

 

 

 

Изменено пользователем Icedevil777228

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Симулируйте в Modlesim.

Симулятор, встроенный в Quartus, это особый способ совокуплять мозг разработчикам.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

При генерации этой коры создается тестбенч, посмотрите его код, станет понятнее. Я моделирую а ActiveHDL, все работает 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...