Перейти к содержанию
    

andk

Свой
  • Постов

    215
  • Зарегистрирован

  • Посещение

Сообщения, опубликованные andk


  1. По порядку (с учётом своего опыта)

    11 минут назад, accurate_random сказал:

    Имеется решение под FPGA для создания собственного процессора и плата приобретённая для реализации xc7z020clg400-2
     

    Задача или решение? Если есть решение, то в чём проблема конкретно?

    Если задача - то это другой вопрос, и однозначно ни кто не ответит, нужно разбираться с каждой проблемой по мере поступления.

    14 минут назад, accurate_random сказал:

     и плата приобретённая для реализации xc7z020clg400-2
     

    Какая? Много наштамповала братская Китайская промышленность, не считая остального мира.

    16 минут назад, accurate_random сказал:

     а так-же все файлы (с заверения продавца на алиэкспресс) необходимые для работы с платой.
     

    От Китайцев нужна только схема. Ну может ещё габаритно - присоединительные размеры. 

    Всё остальное - Xilinx.

    18 минут назад, accurate_random сказал:

    Так-же имеется трудность - отсутствие опыта работы с FPGA, недостаточное количество времени в расчёт не берётся

    Опыт появится сразу после рещения проблем 🙂

    Если время и желание есть - всё сделается.

    Учебников по программированию FPGA навалом, на любом языке этой планеты(про экскимосов, правда, не очень уверен).

    22 минуты назад, accurate_random сказал:

    а так-же то, что мануал на китайском (переводчик в сети всё-же работает).
     

    Вам в Xilinx. Не нужно мучить себя китайским.

    24 минуты назад, accurate_random сказал:

    Точно такую плату видел на Ozon.

    Если кто-то настраивал плату или имеет опыт, или знает плату для ПО которой трудностей нет никаких всвязи с санкциями - прошу помочь с настройкой или подсказать плату с которой можно работать без санкционных ограничений.

    Озон - маленький филиал Али.

    ПО у нас теперь всё под санкциями, поэтому Вам к пиратам. Они добрые. 🙂

    На форуме множество крутых спецов по FPGA и в том числе по Xilix. Будут конкретные вопросы - кто-нибудь ответит.

    НО: Чтение документации и практические занятия - на Вас.

    Подсказать что-то конкретное без представления о задаче, ТЗ, сроках и прочем организационном вряд ли возможно. На этой планете экстрасенсорные способности населения близки к нулю.

    Поэтому:

    1. Читайте документацию.

    2. Пробуйте.

    3. Если возникла проблема - спрашивайте конкретно.

     

  2. 7 часов назад, makc сказал:

    Это неважно, патч не зависит от версии, на сколько мне известно. Генератор лицензии тем более.

    Патчи универсальны. Если бы они не работали, то среда бы просто не запустилась. Проблема скорее всего не в патче, а в ошибке в самой среде. Попробуйте оттранслировать какой-либо простой тестовый проект на настройках по-умолчанию.

    Хм. Вручную удалил каталог impl и проект развёлся... Чудо.

    Дальше пока не смотрел. Если чудеса продолжатся, отпишусь.

    Лечил с помощью "gwlicgen-win+lin_1.0.2.7z"

    Попробовать повторить с "gwlicgen-win+lin_1.0.3.7z" ?

    Как бы узнать, чем они отличаются..

     

  3. 4 часа назад, makc сказал:

    /pub/FPGA/_Gоwin_/Сrасks

    Да нет, с глазами всё нормально..

    gmdlicgen-1.0.7z - не взлетает

    gwlicgen-win+lin_1.0.3.7z - перелечивает, IDE просто падает после разводки, видимо на этапе создания прошивки.

    В любом раскладе, эти файлы датированы 05.2022, то есть явно раньше появления версии 1.9.8.10

    Екзешники уже отличаются от тех, которые они пытаются пролечить.

    А, не сказал что ищу под Win.. Может в этом дело?

     

     

  4. Программатор в этой версии работает с Tang Nano 9K без бубнов! Йес!

    Про симулятор ничё не понял - на панели нажимаешь кнопку - перекидывает на сайт https://metrics.ca и чё дальше делать - непонятно...

    По приметам - облачный симулятор.

    To be continue..

    Нашёл:

    Contact our team to start your evaluation:

    Такое себе..

  5. Да, вы абсолютно правы.

    Я разобрался после разглядывания каждого иероглифа в РАБОЧЕМ примере.. :)

    Имена входных и выходных портов для PSRAM интерфейса должны быть идентичны:

            .O_psram_ck(O_psram_ck_o),              //output [1:0] O_psram_ck
            .O_psram_ck_n(O_psram_ck_n_o),       //output [1:0] O_psram_ck_n
            .IO_psram_dq(IO_psram_dq_io),          //inout [15:0] IO_psram_dq
            .IO_psram_rwds(IO_psram_rwds_io),   //inout [1:0] IO_psram_rwds
            .O_psram_cs_n(O_psram_cs_n_o),       //output [1:0] O_psram_cs_n
            .O_psram_reset_n(O_psram_reset_n_o), //output [1:0] O_psram_reset_n

    Это по умолчанию генерит китайский волшебник версии 1.9.8.06 - не работает!

    А это рабочий вариант:

        .O_psram_ck(O_psram_ck),
        .O_psram_ck_n(O_psram_ck_n),
        .O_psram_cs_n(O_psram_cs_n),
        .O_psram_reset_n(O_psram_reset_n),
        .IO_psram_dq(IO_psram_dq),
        .IO_psram_rwds(IO_psram_rwds)
     

    Все остальные сигналы можно переименовывать как угодно. КЕТАЙ - сила! :)

     

     

  6. Скажите, а кто-нибудь поборол внутреннюю PSRAM в GW1NR-9?

    Что-то у меня ничего не получается...

    Синтез нормально(без ошибок и варнингов),

    А разводка сначала сыплет варнингами типа:

    WARN  (PA1001) : Dangling net 'DF_d[0]'(source:'dq_iodelay_gen0[0].[0].iodelay') in module '~psram_wd.PSRAM_Memory_Interface_HS_Top' has no destination
    А потом ошибки типа:

    ERROR  (PR2067) : Instance 'VideoPsRam/u_psram_top/u_psram_wd/cs_iobuf_gen[0].cs_obuf' in hclk tree must have constraint

    Где эти constraint найти/прочитать?

    Такое ощущение, что разводчик не знает что с этим компонентом делать.

    Может у кого есть рабочий пример?

    Китайский пример пробовал - ничего не понял..:( я не настоящий китаец..

    Ну и да, у китайских примеров другие камни, просто так не поменяешь. (по крайней мере мне запросто не удалось)

     

  7. Epson? Abracon? Golledge? Ecsxtal? да много их.

    Частота выглядит не стандартной, видимо придётся программировать нужную вам. (не должно быть проблемой)

    Другое дело, нужно выяснить требуемые рабочие параметры (стабильность, джиттер, диапазон рабочих температур, и т.п.) - это может быть очень важно.

    Если чисто попробовать, можно заказать Epson что-то типа sg8002 и в заказе указать необходимую частоту. Многие продавцы имеют программаторы для них.

  8. 19 часов назад, _pv сказал:

    если фронты дрожжат на +-25% от периода (чтобы метод декодирования с задержкой на 1.5 такта перестал работать нормально), то это явно не у приёмника проблемы.

    Да, фронты дрожат, но к сожалению, чаще всего решение этой проблемы падает на приёмник...

    В 31.07.2019 в 21:20, _pv сказал:

    без х8/х16 частоты, и ФАПЧей для восстановления клоков, есть ещё рабоче-крестьянские методы с задержкой на 1.5 такта.

    http://ww1.microchip.com/downloads/en/appnotes/01470a.pdf

     

     

    То, что описано у микрочипов - это частный случай, не описывающий процедуры стартовой синхронизации и выбора режима передачи на линии.

    Опять, топикстартер где-то затаился, и не рассказывает, что ему действительно нужно и с какой реализацией протокола он имеет дело. 

    Посему что-то толковое подсказать не представляется возможным.

  9. Позвольте я вставлю свои 5 копеек

    Ваш вопрос очень сильно завязан на качество и тип(дифференциальный или одиночный) входного сигнала.

    Если вход одиночный и чистенький - без дребезга, без искажений фаз и т.п.,

    То ответ Вам дал dxp.

    В противном случае (реальная жизнь, кхе-кхе) нужно отслеживать:

     - разницу скоростей и/или джиттер - до +-25% от идеального синхросигнала

    - дребезг

    - фазовые искажения

    - еще какую-нибудь бяку типа режима передачи в синхро бите (запрос-ответ)

    Кроме как счетчиками (несколькими) не решить.

    В реале хватает частоты х8-х16 от входной.

    Еще хороший вариант - применить специализированные микросхемы приемопередатчиков.

    Это снимет много головных болей :biggrin:

    Data Delay Devices, HOLT INTEGRATED CIRCUITS к примеру.

  10. И всё таки, что вы хотите сделать?

    Есть какая-то RAM.

    Есть какое-то Fifo.

    Зачем их нужно скрещивать?

    Ну хорошо, почему нельзя сделать Ram с разной разрядностью входа и выхода?

    Ну а сделать FIFO с разной разрядностью входа и выхода в чём проблема?

     

     

    fifo.jpg

     

  11. Эээ.. Что вы имели ввиду под словом "единица"?

    В версии 18:

    Разрядность данных - от 1 до 256.

    Глубина - от 4 и до конца памяти (ну или триггеров).

    Вообще, не плохо бы знать про какой камень идёт речь.

    В приаттаченой картинке скриншот.

    Если имеется ввиду разрядность 13*8=104 бита, возьмите ближайшее значение - 108.

    fifo.jpg

  12. Приветствую Всех!

     

    Diamond 3.10

     

    У меня сложилось субьективное мнение что LSE синтезирует лучше чем Synplify, макс. частота выше, разницы по LUTах нет. Правда девайс забит только на 40%.

    А какой опыт у Вас?

     

    Такая же фигня. Проект на LA4128, занято ~90%.

     

    Ещё понравилось в LSE - человечески понятные текстовые конфиги.

    (Ну это субъективно, конечно).

×
×
  • Создать...