Перейти к содержанию
    

Zversky

Свой
  • Постов

    643
  • Зарегистрирован

  • Посещение

Репутация

18 Хороший

2 Подписчика

Информация о Zversky

  • Звание
    Знающий
    Знающий
  • День рождения 07.10.1974

Старые поля

  • skype
    Array
  • Facebook
    Array
  • Vkontakte
    Array
  • LinkedIn
    Array
  • Одноклассники
    Array

Контакты

  • Сайт
    Array
  • Skype
    Array

Информация

  • Город
    Array

Посетители профиля

Блок последних пользователей отключён и не показывается другим пользователям.

  1. Ну почему, если вынь, то сразу сексизм? Вот, человек под вынью скрипт запускает: https://habr.com/ru/articles/829838/ и передаёт ему требуемые аргументы.
  2. Главное лицо, конечно, @StewartLittle, я же просто исполняю роль проводника.
  3. Тем временем пришёл сюда: http://systemverilog.ru , а точнее сюда: http://systemverilog.ru/uvm/questasim-with-uvm-1-2-windows/ спотыкаюсь на этом а потом, при нажатии Ок в окне с ошибкой на этом или в текстовом виде cd f:/Anatoly/Work/FPGA/UVM/systemverilog.ru/as_is/examples/simple/hello_world make -f MakefileQuesta10_4a all # MakefileQuesta10_4a started # QUESTA_HOME = c:/Work/FPGA/Mentor/QuestaSim64/10.4a # UVM_DPI_HOME = c:/Work/FPGA/Mentor/QuestaSim64/10.4a/uvm-1.2/win64 # USES_PRECOMPILED_UVM_DPI = 1 # UVM_HOME = c:/Work/FPGA/UVM/1.2 # vlib work # vlog -mfcu -suppress 2181 +acc=rb -writetoplevels questa.tops +incdir+c:/Work/FPGA/UVM/1.2/src c:/Work/FPGA/UVM/1.2/src/uvm.sv +incdir+. hello_world.sv # QuestaSim-64 vlog 10.4a Compiler 2015.03 Mar 25 2015 # Start time: 22:02:43 on Aug 04,2024 # vlog -mfcu -suppress 2181 "+acc=rb" -writetoplevels questa.tops "+incdir+c:/Work/FPGA/UVM/1.2/src" c:/Work/FPGA/UVM/1.2/src/uvm.sv "+incdir+." hello_world.sv # -- Compiling package uvm_pkg # -- Compiling module hello_world # -- Importing package uvm_pkg # # Top level modules: # hello_world # End time: 22:02:44 on Aug 04,2024, Elapsed time: 0:00:01 # Errors: 0, Warnings: 0 # vsim -sv_lib c:/Work/FPGA/Mentor/QuestaSim64/10.4a/uvm-1.2/win64/uvm_dpi -c -do "run -all; q" -l questa.log -f questa.tops # make.EXE: *** [run] Error -1073741502 но, копируя через буфер обмена в тоже окно команду vsim с её параметрами, на которую ругнулся make.exe, и выполняя её, получаем В чём тут может быть дело (такой затык)? Тоже самое пробовал и в 10.4а и 2024.1
  4. Есть, конечно, но лишний раз быть ткнутым носом в то, вокруг чего ходил кругами, считаю только полезным, за что благодарю.
  5. Снова прошу простить за некорректную формулировку: имел в виду пример скрипта. Да. DO-254 в его российской интерпретации проходили. Знаем. Но от требованиям работодателей быть знакомым с UVM это не помогает. Надо щупать своими руками. К щупанью приступил.
  6. Прошу простить, что так неоднозначно сформулировал свой вопрос, но мне просто был нужен минимальный пример проекта с тестовым окружением на основе UVM, а также TCL для обработки этого всего нажатием одной кнопки для Mentor's ModelSim (Questa), который я и нашёл по ссылке в первом посте этого топика, но не смог скачать по причине (см. выше). Но теперь я осознал (в т.ч. с помощью участников этого обсуждения), что UVM - это библиотека, и мой вопрос в более понятном варианте звучал бы так: покажите мне, как с помощью TCL обработать DUT и UMV-based TB с помощью Mentor's ModelSim (Questa) там, чтобы был минимальный коробочный вариант. А ответ бы на него был* таким: работа с UVM с т.з. TCL ничем не отличается от работы при "обычном" функциональном тестировании. *просьба поправить, если я всё ещё плаваю.
  7. Я использую Questa (суть ModelSim). Его (и любой инструмент, что использую) я использую с TCL. На ваши вопросы я ответил? Завуалированно вы ответили на мой третий по счёту. Прямой ответ на свои вопросы я нашёл здесь.
  8. Почему тогда IDE вам ничего не говорила? У вас выставлен параметр отображения всех ошибок? И здесь же, хоть и не в тему, но больше негде: по какой причине я не могу написать в ЛС?
  9. Сдаётся мне, что 8. По обоим рисункам, что вы привели, 8 шустрее, и она же указана в partnumber для применения С. Вы же в условиях С применять собираетесь ваше изделие?
  10. Спасибо всем, кто участвовал в этой теме, особенно тому, кто не участвовал здесь, но в ЛС прислал наиболее ценные не только ЦУ но и РМ, которые, увы, тоже придётся, надеюсь, на время отложить в стол и переключиться на другие вопросы.
  11. Всем добра. Имею намерение наконец-то перейти от прокрастинации в вопросе изучения UVM от обдумывания к практике, имея на руках и давно используемый мною инструментарий от Mentor (Questa). В качестве одной из отправных точек нашёл ссылку на давно, но не часто, используемый (бесплатно, конечно) ресурс edaplayground.com (и интересующий меня пример там). Использование, однако, на нём (в т.ч. с т.з. скачивания*) требует подтверждения прав на пользования. *а меня больше всего интересует TCL Вопрос: кто-то может помочь с 1 скачиванием TCL для Mentor 2 предоставлением своего варианта TCL для Mentor 3 любых слов относительно намеченного мною пути для работы с UVM?
×
×
  • Создать...