Перейти к содержанию
    

Error: Node "ram256:ram256_inst|lpm_ram_dq:lpm_ram_dq_component|altram:sram|q[0]" has non-registered write enable-- attempting to initialize a RAM with non-registered write enable

assign led[0] =  d[0]; 
    assign led[1] =  d[1];
    assign led[2] =  d[2];
    assign led[3] =  d[3];
***

 

Написал так:

assign led = d[3:0];

ошибки как не бывало... КАК ТАК ТО?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Научился читать и писать память, но не получается инициализировать ее из файла (

Создал файл .mif под размер своего модуля, при компиляции вылазит ошибка :

Error: Node "ram256:ram256_inst|lpm_ram_dq:lpm_ram_dq_component|altram:sram|q[0]" has non-registered write enable-- attempting to initialize a RAM with non-registered write enable

(точнее 4 ошибки для q[0],q[1],q[2],q[3])

К этим выводам подключены индикаторы

******
output wire    [3:0] led
wire [7:0] d;
ram256    ram256_inst (
        .address ( cnt ),
        .data ( cnt ),
        .outclock ( ~clk_out ),
        .we ( load ),
        .q ( d )
    );
assign led[0] =  d[0]; 
    assign led[1] =  d[1];
    assign led[2] =  d[2];
    assign led[3] =  d[3];
***

 

В MOdelSim компилируется и работает. Кто-то сталкивался с подобным? Пробовал инициализировать и из файла формата .hex, тот же результат.

 

inclock то где?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...