Перейти к содержанию
    

Добавление перефирии в Xilinx Platform Studio

Беда такая:

Некоторое время назад сделал блочок в ISE, который отладил и он работает. Теперь потребовалось просто добавить его в состему, полученную в XPS... добавляется нормально, НО в этом блочке есть FIFO, полученный CoreGenом, при компиляции платформы он на него ругается и ПОНЯТНО почему.

Можно ли XPS каким-нибудь волшебным образом объяснить как компилить ядра? :laughing:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Беда такая:

Некоторое время назад сделал блочок в ISE, который отладил и он работает. Теперь потребовалось просто добавить его в состему, полученную в XPS... добавляется нормально, НО в этом блочке есть FIFO, полученный CoreGenом, при компиляции платформы он на него ругается и ПОНЯТНО почему.

Можно ли XPS каким-нибудь волшебным образом объяснить как компилить ядра? :laughing:

Выделив слово ПОНЯТНО, кроме Вас это понятно никому понятно не стало.

Что конкретно пишет? Coulden't be resolved?

В .pao прописали исходники?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Выделив слово ПОНЯТНО, кроме Вас это понятно никому понятно не стало.

Что конкретно пишет? Coulden't be resolved?

В .pao прописали исходники?

Ну, понятно, это в смысле, что XPS вроде бы как не знает откуда брать исходник, но мне не понятно, как объяснить ему где он находится. А .pao - это что?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А .pao - это что?

Если периферию свою через CIP визард цепляли, то папка pcores/data/имя вашей корки.pao

Дальше разберетесь, что делать.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если периферию свою через CIP визард цепляли, то папка pcores/data/имя вашей корки.pao

Дальше разберетесь, что делать.

А ну да.. но там vhd файл фифо есть, но это только порты. А как правильно ему указать именно исходник?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В *.prj и *.pao файлы нужно вписать исходник компонента и coregen'овского FIFO. И не забыть ngc файл FIFO добавить в папку "implementation".

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Беда такая:

Некоторое время назад сделал блочок в ISE, который отладил и он работает. Теперь потребовалось просто добавить его в состему, полученную в XPS... добавляется нормально, НО в этом блочке есть FIFO, полученный CoreGenом, при компиляции платформы он на него ругается и ПОНЯТНО почему.

Можно ли XPS каким-нибудь волшебным образом объяснить как компилить ядра? :laughing:

 

Last post in this thread explains how to do it:

 

http://forums.xilinx.com/t5/EDK-and-Platfo...ting/td-p/67203

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не получается, пока пробую. Делаю всё, как советуют: добавляю ngc файлы, создаю bbd файл, добавляю блок в проект заново. ошибка остаётся прежняя.. что-то где-то упускаю видимо

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не совсем понятно, какая конкретно ошибка возникает.

 

Я делаю все нужные для периферийных модулей системы на кристалле ядра coregenом в одном проекте .cgp. Размещаю его в отдельной папке в pcores. Мне так удобнее, так как эти модули используются сразу несколькими периферийными модулями. Естественно, с путями все прописываю в .pao модулей. При этом EDK компилирует модуль нормально (generate netlist).

 

Для нормальной сборки в ISE в Translate Properties в macro search path указываю

C:/Xilinx/Projects/test_pcores_module_loop/system/implementation|C:/Xilinx/Projects/test_pcores_module_loop/system/pcores/coregen

чтобы находились ngc файлы для сгенерированных coregen модулей. Это в версии 12.2.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не совсем понятно, какая конкретно ошибка возникает.

 

Я делаю все нужные для периферийных модулей системы на кристалле ядра coregenом в одном проекте .cgp. Размещаю его в отдельной папке в pcores. Мне так удобнее, так как эти модули используются сразу несколькими периферийными модулями. Естественно, с путями все прописываю в .pao модулей. При этом EDK компилирует модуль нормально (generate netlist).

 

Для нормальной сборки в ISE в Translate Properties в macro search path указываю

C:/Xilinx/Projects/test_pcores_module_loop/system/implementation|C:/Xilinx/Projects/test_pcores_module_loop/system/pcores/coregen

чтобы находились ngc файлы для сгенерированных coregen модулей. Это в версии 12.2.

Ошибка такая:

ERROR:NgdBuild:604 - logical block 't_xps_module_0/t_xps_module_0/XLXI_1' with
   type 'smth' could not be resolved. A pin name misspelling can cause this, a
   missing edif or ngc file, case mismatch between the block name and the edif
   or ngc file name, or the misspelling of a type name. Symbol 'smth' is not
   supported in target 'spartan6'.

Я получается периферийный модуль сначала собираю в ISE, чтобы его отладить, потом делаю экспорт. Если в моём модуле нет corege-овских компонентов, всё собирается нормально, но если есть (в этом случае счётчик), то выходит такая ошибка. При экспорте он добавляет в pao vhd файл корки. Можете отправить содержание вашего pao файла?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...