Перейти к содержанию
    

параметризация модуля

Итак дано:

 

    wire [15:0] CODE;
    
    assign  CODE = 16'b1110011010000101;


//-----------------------------------------------------------
//% gate 
//----------------------------------------------------------- 
genvar j;
generate   
for (j = 0; j < (16); j = j + 1)    
begin: genj
     gate_bit #(.L(CODE[15-j]),.D(D)) gate_bit_mod (
        .rst(rst), 
        .clk(clk), 
.....
        );

end
endgenerate

 

Синплифай кушает буд здоров. Modelsim же ругается на wire CODE:

Module instance parameter must be constant.

 

Как параметризировать, чтобы modelsim тоже скушал?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

написал parameter моделсим скушал, но синплифай для всех gate_bit сделал L=1

Сделайте тогда reg [15:0] CODE = 16'b1110011010000101

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сделайте тогда reg [15:0] CODE = 16'b1110011010000101

ток параметр впереди не забудьте, потому что это переменная времени компиляции

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...