Перейти к содержанию
    

Горячая линия по САПР Cadence Allegro

Когда компонент вытаскиваешь на схему - он получает REF "U*".

Да, его можно отредактировать, когда он уже присутствует на схеме.

Но в библиотеке .olb REF, заданный при создании компонента - уже нельзя изменить.

И по умолчанию, если компонент был создан автоматически (при экспорте в .olb), ему в библиотеке символов .olb присваивается refDes U*. Это видно, когда редактируешь компонент c помощью SplitPart.

И тут его уже не исправить.

Бывает даже, что в .olb во время редактирования компонента высвечивается D*, а в SplitPart все равно U*.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо!

Или хотя бы изменить PartRef у единичного компонента?

Редактируйте библиотеки.

post-20334-1468921500_thumb.png

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ага, это то что находится в Options/PackageProperties.

Спасибо, очень выручили!

Изменено пользователем GDicegolem

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Скажите, пожалуйста, можно ли задать электрические ограничения (напр. для дифпар)

с помощью скрипта на Skill?

 

Да, скрипты на Skill позволяют управлять констрейнами, в том числе и электрическими.

Функции Skill очень хорошо описаны в хелпах, также смотрите примеры *.il в дистрибутиве.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Такая вот ерунда, мне понадобилось из 4 SN74LS04N слепить фабричную сборку DIP 14 в соответствии с дата шит

http://html.alldatasheet.com/html-pdf/1261.../SN74LS04N.html

жук в библиотеках напрочь отсутствовал, вместо него CAD в библиотеке УГО дает почему то 1 инверер.

Объединить их как то под один корпус реально, или надо строить с нуля и наделять его параметрами?

Иерархическое расширение ради DIP 14 не лучший вариант.

 

Вопрос снят, догадался благодаря просмотру через футпринт вьюер что на PCB это формируется правильно, сопоставил как в схеме для всех элементов как U1, каждому указал одно и то же имя футпринта.

Изменено пользователем Magnet

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Два года не работал в пикаде. Но пришлось модернизировать небольшую старую платку. Все, хоть и не сразу, вспомнилось.

Поскольку поменялась схема, то провел перенумерацию и все передал на плату. Быстро и без проблем.

А вот в новых обновлениях Cadence что то подобное не появилось?

Еще вопрос: мультипликацию платок для производства как делать, а то в пикаде с этим были проблемы.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подобное чему? Апдейту платы из схемы? Или перенумерации? И то и другое там есть, с чего ему еще раз появляться.

 

Для мультипликации нужно САМ софт использовать, аллегро все-таки для проектирования предназначен.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Или перенумерации? И то и другое там есть, с чего ему еще раз появляться.

Вот как то этот вопрос у меня не получался. Перенумеровываешь схему, а на плате потом все переставляется с новой нумерацией. Например где был С5 на плате там и появляется С5 но уже из измененной схемы.

 

Для мультипликации нужно САМ софт использовать, аллегро все-таки для проектирования предназначен.

В альтиуме это хорошо решено.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В ПКАДе точно так же могла слететь нумерация, если новые номера перекрывались со старыми, просто Вы похоже с такой ситуацией не сталкивались.

Здесь нет иной связи нежели по RefDes, поэтому ренумерацию нужно делать в два этапа с контролем номеров, так, чтобы в каждом этапе каждый компонент получил свой уникальный номер. Результаты каждого этапа ренумерации схемы вгружать в плату.

Сложности возникнут для многогейтовых компонентов рассыпаных по разным страницам схемы, их придется нумеровать вручную.

 

Альтиум скорее исключение в этом плане, как и во многих других... Здесь есть аддон под названием BluePrint PCB, позволяет работать с герберами, готовить документацию и прочая. Правда по нему не подскажу, кроме как для просмотра пока не использовал.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В ПКАДе точно так же могла слететь нумерация, если новые номера перекрывались со старыми, просто Вы похоже с такой ситуацией не сталкивались.

Нет там такого.

 

Здесь нет иной связи нежели по RefDes, поэтому ренумерацию нужно делать в два этапа с контролем номеров, так, чтобы в каждом этапе каждый компонент получил свой уникальный номер. Результаты каждого этапа ренумерации схемы вгружать в плату.

Можно поподробней. Вы как то писали:

 

Уже несколько раз об этом писал. Решение есть, но помогает не всегда, почему-то: двойная перенумерация. Нумеруем два раза подряд, причем так, чтобы каждый раз все компоненты получили абсолютно новые номера, которых перед нумерацией в проекте гарантированно не было. Например первый раз все номера с десяти тысяч, а второй нормально, с единицы.

Вгружать нетлист в РСВ нужно каждый раз. Тогда после второй ренумерации скорей всего все будет пронумеровано правильно и никто никуда не слетит.

Вот про десять тысяч, я не понял как их задать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Нет там такого.

 

 

Можно поподробней. Вы как то писали:

 

 

Вот про десять тысяч, я не понял как их задать.

 

Для корректной перенумерации схемы,

нужно сначала сделать перенумерацию на плате, начиная с большого номера (например, 10000),

сделать бэк-аннотацию из платы в схему,

а затем уже делать перенумерацию схемы с 1 по нужным правилам,

и делать загрузку нового нетлиста в плату.

Тогда гарантированно не будет пересечения RefDes.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Нет там такого.

 

То, что Вы с таким не сталкивались, не означает, что его нет. Я сталкивался. Приходилось вручную править ЕСО-файл чтобы согласовать плату со схемой.

 

Вот про десять тысяч, я не понял как их задать.

 

В окне Annotation есть галка "Refdes Control" (или как-то похоже). Включите и можно будет устанавливать диапазоны рефдесов отдельно для каждого листа схемы.

Но похоже предложенный выше способ должен быть удобнее. Я так еще не делал, при оказии надо будет попробовать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да, скрипты на Skill позволяют управлять констрейнами, в том числе и электрическими.

Функции Skill очень хорошо описаны в хелпах, также смотрите примеры *.il в дистрибутиве.

 

К сожалению, примера не нашел.

Если я пишу

axlCNSEcsetCreate("Diff_pair1_set")

то в каком подразделе Electrical Constraint Set должен создаться Diff_pair1_set?

Может быть, Вы могли бы дать какой-нибудь пример?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

К сожалению, примера не нашел.

Если я пишу

axlCNSEcsetCreate("Diff_pair1_set")

то в каком подразделе Electrical Constraint Set должен создаться Diff_pair1_set?

Может быть, Вы могли бы дать какой-нибудь пример?

 

Во всех разделах Electrical он появляется, только надо повторно запустить CM, чтобы это увидеть.

Пример:

 

Command > skill

Skill > axlCNSEcsetCreate("Diff_pair1_set")

dbid:123043560

Skill > axlCNSEcsetValueSet("DIFF_PAIR1_SET" 'Maximum_Via_Count 5)

t

Skill > axlCNSEcsetValueSet("DIFF_PAIR1_SET" 'Differential_Pair_Primary_Gap 0.25)

t

Skill > axlCNSEcsetValueSet("DIFF_PAIR1_SET" 'Minimum_Line_Width 0.15)

t

Skill > axlCNSEcsetValueGet("DIFF_PAIR1_SET" 'all)

(

(Maximum_Via_Count 5)

(Differential_Pair_Primary_Gap 0.25)

(Minimum_Line_Width 0.15)

)

Skill >

 

И еще из полезного:

Skill > axlCNSEcsetValueGet(nil)

(Maximum_Stub_Length Net_Schedule_Topology Ratsnest_Schedule_Type Maximum_Via_Count Match_Via_Count

Maximum_Exposed_Length Impedance Propagation_Delay Relative_Propagation_Delay Parallelism

Maximum_Crosstalk Maximum_Peak_Crosstalk Total_Etch_Length Differential_Pair_Primary_Gap Minimum_Line_Width

Maximum_Line_Width Differential_Pair_Neck_Gap Minimum_Neck_Width Differential_Pair_Coupled_Tolerance_Plus Differential_Pair_Coupled_Tolerance_Minus

Differential_Pair_Minimum_Spacing Differential_Pair_Gather_Control Differential_Pair_Max_Uncoupled_Length Differential_Pair_Phase_Control Differential_Pair_Phase_Tolerance

Differential_Pair_Dynamic_Phase_Tolerance Differential_Pair_Max_Dynamic_Phase_Violation_Length LayerSet_group

)

 

А имя параметра констрейна в CM можно увидеть, если навести мышкой на название столбца в CM

(правда, оно немножко отличается, но можно догадаться).

 

 

В вам зачем создавать констрейны скиллами, если не секрет?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...