Перейти к содержанию
    

Какой симулятор является лучшим на ваш взгляд?

 

Я пользуюсь Aldec ActiveHDL 6.3, он меня полностью устраивает.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Какой симулятор является лучшим на ваш взгляд?

 

Я пользуюсь Aldec ActiveHDL 6.3, он меня полностью устраивает.

 

Если б я работал под Linux - ставил бы Cadence IUS.

Но я работаю по ряду причин под Windows и ModelSim меня полностью устраивает ;-)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я считаю (хоть меня сейчас закидают всякой гадостью), что лучший симулятор для того, чтобы НАЧАТЬ работать с ПЛИСами - это симулятор Max + Plus II :D. Ну или Квартуса хотя бы.

Дальше возможны варианты.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Работал раньше с ISE кзайлинковским - modelsim использовал, перешел на quartus альтеровский продолжаю им пользоваться. Иногда еще VCS используем по причине того, что среда работает гораздо быстрей. Работаю и под linux и под windows - не принципиально.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 D-Luxe : Однозначного ответа на это вопрос нету, каждый выбирает что ему удобнее...

2 vadimuzzz : Я, аналогично, работаю в modelsim под Linux, главное, что не возникает терок между теми, кто пользует modelsim под windows...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

За что люблю Active-HDL так это за то, что он разрешает лениться, позволяя для простых модулей рисовать диаграммы входных воздействий в ручном режиме, когда нужно быстро что-либо проверить не усложняя

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

поддерживаю последнего оратора. Я ленивый. Так что сижу на Active-HDL. Но есть глюки. Какой-то он недоделанный, сыроватый. Иногда было желание перейти на Моделсим.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

поддерживаю последнего оратора. Я ленивый. Так что сижу на Active-HDL. Но есть глюки. Какой-то он недоделанный, сыроватый. Иногда было желание перейти на Моделсим.

 

Давно использую Active-HDL. Но в последнее время он как-то испортился. Версии 8.2 и 8.3 глючные. Но только в них есть поддержка Xilinx Secure IP.

Полностью доволен версиями 7.3; 8.1;

Может на версии 9.1 они исправятся.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Давно использую Active-HDL. Но в последнее время он как-то испортился. Версии 8.2 и 8.3 глючные. Но только в них есть поддержка Xilinx Secure IP.

Полностью доволен версиями 7.3; 8.1;

Может на версии 9.1 они исправятся.

Кинь ссылку на 8.1! Заранее спасибо!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если б я работал под Linux - ставил бы Cadence IUS.

Но я работаю по ряду причин под Windows и ModelSim меня полностью устраивает ;-)

 

под линуксом модел сим пашет не хуже

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Моделю в verilator+vmodel. Работаю под Linux

Опишите пожалуйста впечатления, желательно в сравнении с другими средами: удобство, быстродействие ну и т.п.

Можете сравнить с Icarus Verilog?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Опишите пожалуйста впечатления, желательно в сравнении с другими средами: удобство, быстродействие ну и т.п.

Можете сравнить с Icarus Verilog?

 

По сравнению с Icarus значительно быстрее. На сайте verilator дается сравнение, что он в 100 раз быстрее икаруса, и это похоже на правду.

С точки зрения удобства:

Писать тест-бенчи на матлабе для математических блоков несаизмеримо удобнее, чем на любом другом языке(писал на Verilog и C++). Есть большой встроенный функционал для обработки информации, построения графиков, гистограм и т.д.

Моделинг совместо с Simulink позволяет моделировать прошивку ПЛИС совместно с аналоговым железом. Я моделю так прошивку для частотного преобразователя совместно с моделями двигателей. Раньше приходилось отлаживать прямо на железе, т.к. хорошую модель двигателя в виде тестбенча на Veriloge написать очень сложно, если не сказать не возможно.

 

Вообщем, если есть навыки работы с матлаб, то удобство верификации возрастает в несколько раз (она даже начинает доставлять удовольствие:)

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...