Перейти к содержанию
    

Получение TTЛ сигнала с малыми фазовыми шумами

Поясните как сделать на XOR схему управления? Что-то сходу не соображу. И что значит коммутируемый LC-фильтр? Для чего его коммутировать?

Была мысль сделать суммирование неинверсных сигналов в 0 и инверсных сигналов в 1 по первому положительному или отрицательному фронту импульса генератора и сигналу подтверждения с ПЛИС, но сейчас это кажется нерациональным. Просто таким образом можно было бы увеличить разрешение вдвое.

Вы знаете, наверное, я изначально не понимаю Вашей идеи, очень прошу пояснить, хорошо бы при этом конкретно на словах обрисовать схему. Был бы очень признателен.

Гораздо проще прогнать генератор через один из входов двухвходового AND и один из входов двухвходового OR, отслеживая состояние входами ПЛИС на каждой из трёх точек и управляя вторыми входами логических элементов.

Логика такая:

Как только мы хотим запустить импульс, мы дожидаемся логического 0 генератора на входе AND, устанавливаем второй вход AND из 0 в 1, дожидаемся единицы на выходе AND (он же один из входов OR) и сразу же после этого переключаем из 0 в 1 второй вход OR. Удерживаем 1 на выходе OR в течении необходимой длительности, считая импульсы генератора.

Как только мы хотим остановить импульс, мы дожидаемся логической 1 генератора на входе AND, переключаем из 1 в 0 второй вход OR, дожидаемся нуля на выходе AND и сразу же после этого переключаем из 1 в 0 второй вход AND.

То есть, можно довольно просто избежать использование триггера и построить схему на элементарных элементах высокоскоростной логики. При этом положительные и отрицательные фронты определяются именно соответствующими фронтами генератора тактовых импульсов и характеристиками логических элементов.

Извините, пока не могу привести схемы для XOR и AND/OR, на интернет-компьютере не ничего для рисования схемотехники.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Неплохо было бы озвучить, что Вы понимаете под фазовыми шумами импульсов произвольной длительности и для каких задач это нужно?
под фазовыми шумами понимаю величину, характеризующую размер "юбки" спектра периодической последовательности импульсов. Назначение импульсов - управление передающим трактом р а д а р а.

 

Гораздо проще прогнать генератор через один из входов двухвходового AND и один из входов двухвходового OR, отслеживая состояние входами ПЛИС на каждой из трёх точек и управляя вторыми входами логических элементов.

Логика такая:

Как только мы хотим запустить импульс, мы дожидаемся логического 0 генератора на входе AND, устанавливаем второй вход AND из 0 в 1, дожидаемся единицы на выходе AND (он же один из входов OR) и сразу же после этого переключаем из 0 в 1 второй вход OR. Удерживаем 1 на выходе OR в течении необходимой длительности, считая импульсы генератора.

Как только мы хотим остановить импульс, мы дожидаемся логической 1 генератора на входе AND, переключаем из 1 в 0 второй вход OR, дожидаемся нуля на выходе AND и сразу же после этого переключаем из 1 в 0 второй вход AND.

То есть, можно довольно просто избежать использование триггера и построить схему на элементарных элементах высокоскоростной логики. При этом положительные и отрицательные фронты определяются именно соответствующими фронтами генератора тактовых импульсов и характеристиками логических элементов.

Огромная Вам благодарность за разъяснение, теперь я хоть понимаю, что идею, в принципе, понял правильно, хотя Ваша схема с AND и OR изящнее. Я так понимаю, она и не требует никакой фильтрации полученного сигнала, т.к. просечек не будет.

Извините, пока не могу привести схемы для XOR и AND/OR, на интернет-компьютере не ничего для рисования схемотехники.
Если в этих схемах принцип тот же, что Вы описали выше, то нарисовать схему на AND+XOR дело понятное.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

под фазовыми шумами понимаю величину, характеризующую размер "юбки" спектра периодической последовательности импульсов. Назначение импульсов - управление передающим трактом р а д а р а.

Тематика знакома, но кроме формирования тактов для АЦП или ЦАП не вижу, где могут потребоваться низкие фазовые шумы, тем более в тракте управления.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

было бы интересно узнать у ТС как он учитывает влияние джиттера интервала 1мкс на динамический диапазон сигнала и какие из спуров ПЛИСины для него значимы , потому что не весь спектр фазового шума влияет на джиттер интервала 1мкс.

Изменено пользователем тау

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

было бы интересно узнать у ТС как он учитывает влияние джиттера интервала 1мкс на динамический диапазон сигнала и какие из спуров ПЛИСины для него значимы , потому что не весь спектр фазового шума влияет на джиттер интервала 1мкс.
простите, а кого имеете ввиду под TC? Про 1мкс вроде бы я писал. Поясните, пожалуйста, Вашу мысль по-подробнее про интервал 1мкс. И что за спуры ПЛИС?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Тематика знакома, но кроме формирования тактов для АЦП или ЦАП не вижу, где могут потребоваться низкие фазовые шумы, тем более в тракте управления.

Чистота допплеровского диапазона очень важна. Уширение юбки СВЧ несучки, а зондирующий импульс перемножается с несучкой и уширение его юбки приводит к уширению несучки, в свою очередь может привести к потерям слабых сигналов типа стелс-низколетов на фоне немеряного отклика от земли. ПМСМ. Хотя больше всего проблем с гетеродином, а не зондирующим сигналом.

PS Половину молодости было убито на перманентную борьбу со спуром минус 115дБс биения тактовой и третьего гетеродина в клоке модуляции, попавшем в допплер по частоте и перенесшегося модулятором на несучку. При том, что сигналы были разведены жесткими коаксиалами и корпуса субблоков фрезерованные. Схемно-конструкционный глюк. Изделие самотестирование не проходило. Надо было разносить эти генераторы в разные блоки. А не кувыркаться в каждом блоке с поиском мест подпайки экранов коаксиалов на корпус.

 

То ТопикСтартеру - Вы поняли кто такой ТС?

Изменено пользователем ledum

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

То ТопикСтартеру - Вы поняли кто такой ТС?
ну, теперь понял :-) ранее с таким сокращением не сталкивался, вот и спросил, спасибо, что просветили.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Поясните, пожалуйста, Вашу мысль по-подробнее про интервал 1мкс. И что за спуры ПЛИС?

Плис загрязняет внутри себя клоковый сигнал спурами - побочными негармоническими составляющими. (поэтому Вы хотите затактировать еще снаружи чистым клоком , так?) . Тут дело в том что для самого клокового сигнала спуры могут иметь влияние, а для вырабатываемого импульса в 1 мкс - могут и не иметь , если для этого импульса ( с нулем в спектре на частотах кратных 1/t ) эти негармонические составляющие вдруг (или умышленно) окажутся гармоническими в точках нуля спектра модулирующего импульса. Несущая, кстати, для одиночного импульса тоже расширяется в главном лепестке до ширины 1/t (это реальная ширина юбки одиночного импульса, незначительно зависящая от шума или мелких спуров) . К примеру , на выходе контроля внутреннего клока анализатором спектра вы видите спуры с отстройками 4 , 5,20 ,50 Мгц и соответствующее их энергии дрожание (джиттер ) самого клока , например 1nS . А вот на выходе последовательности 1мкс импульсов этого джиттера может и не быть уже , так как спуры клока совпали с гармониками вырабатываемой импульсной последовательности. Возможно Ваша задача глубже - боретесь за чистоту юбок внутри главного лепестка, из-за наличия последовательности импульсов >1шт , тогда жись несколько более усложняется. Если я чего не напутал.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Скажите, может ещё существуют какие варианты получения чистого импульса, кроме TinyLogic? Интересно узнать.

 

... Возможно Ваша задача глубже - боретесь за чистоту юбок внутри главного лепестка, из-за наличия последовательности импульсов >1шт , тогда жись несколько более усложняется. ...
именно, речь о чистоте "юбок", т.к. формируемый сигнал: периодические импульсы

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Может я что-то не так понял, но ИМХО проблема пяти триггеров. Точнее двух цифровых одновибраторов и одного RS-триггера. Цифровые одновибраторы по дрожащему бланку разрешения с ПЛИС и чистому клоку со 100МГц генератора выдают чистые одиночные импульсы запуска и сброса, равные периоду клоковой частоты на RS-триггер. В результате выходной джиттер будет бОльшим из джиттера применяемого типа триггера и джиттера 100МГц клоков, т.е. никакой.

На надпись 561ТМ2 не обращаем внимания. Две таких схемки. На один вход 1 в кружочке подается перепад строба запуска, на вторую такую же схему и на такой же вход подается перепад строба сброса. На 2 в кружочке обеих схем подается клоковая 100МГц (триггеры тини-лоджик, sn74auc74 или ЭСЛ - что больше нравится, все с малым джиттером, не забываем учитывать полярность сигналов в разных логиках и микросхемах). С 4 в кружочках снимаются 10нс чистые импульсы запуска и сброса RS-триггера

post-44237-1300287341_thumb.png

Изменено пользователем ledum

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Может я что-то не так понял, но ИМХО проблема пяти триггеров...
Ваша идея понятна, за что спасибо большое. Вот только поясните, почему именно такая реализация, 5 триггеров как-то громоздко кажется. Ведь можно просто взять один D-триггер, затактировать его чистыми 100МГц, а на вход триггера с ПЛИС подавать нужный сигнал управления.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

почему именно такая реализация, 5 триггеров как-то громоздко кажется. Ведь можно просто взять один D-триггер, затактировать его чистыми 100МГц, а на вход триггера с ПЛИС подавать нужный сигнал управления.

По одному переднему в каждом канале триггеру можно засунуть в ПЛИС - их джиттер некритичен, если конечно, задержки не вылетят за где-то четверть периода, а вот джиттер собственно одновибраторов и RS-триггера уже критичен. Плюс две лишних ноги украдено у ПЛИСины. Мне кажется, что разводка на плате в таком варианте (с тремя корпусами сдвоенных триггеров - вариант с SN74AUC74, если питание и уровни позволяют) проще. ЧипЫ-то крохотные, места мало занимают. Да и развязка получается полная по питанию у всех времязадающих элементов от ПЛИСины. Спур в сообщении выше у нас наводился на клоковый сигнал в момент переключения, когда логика находилась в линейном режиме. С тех пор многократно перестраховываюсь.

Изменено пользователем ledum

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

По одному переднему в каждом канале триггеру можно засунуть в ПЛИС - их джиттер некритичен, если конечно, задержки не вылетят за где-то четверть периода, а вот джиттер собственно одновибраторов и RS-триггера уже критичен. Плюс две лишних ноги украдено у ПЛИСины. Мне кажется, что разводка на плате в таком варианте (с тремя корпусами сдвоенных триггеров - вариант с SN74AUC74, если питание и уровни позволяют) проще. ЧипЫ-то крохотные, места мало занимают. Да и развязка получается полная по питанию у всех времязадающих элементов от ПЛИСины. Спур в сообщении выше у нас наводился на клоковый сигнал в момент переключения, когда логика находилась в линейном режиме. С тех пор многократно перестраховываюсь.
ясно, спасибо огромное за разъяснение

Ещё такой вопрос, если у меня чистый клок в формате LVPECL, на какой микросхеме лучше его перевести в ТТЛ? Я когда-то применял IDT MC100ES60T23, но может быть есть какой более распространённый вариант?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ясно, спасибо огромное за разъяснение

Ещё такой вопрос, если у меня чистый клок в формате LVPECL, на какой микросхеме лучше его перевести в ТТЛ?

Можно попорбовать варианты http://electronix.ru/forum/index.php?showt...st&p=671037 в ПДФ-ке вырезка из описания рубидиевого генератора. Возможно размаха хватит - надо паять и проверять. Но вроде все варианты удовлетворяют ТЗ (компаратор более быстродействующий должен быть). КМОП как раз можно взять из ряда тини лоджик - по быстродействию должно хватить. Хотя Ваш вариант более чем адекватен ПМСМ.

Изменено пользователем ledum

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...