Перейти к содержанию
    

DDRIII+HPCII глюки или руки?

В DataSheet на HPC2 на странице 12 есть таблица

 

Table 1–3. DDR and DDR2 SDRAM HPC and HPC II Features

 

 

Внизу таблицы есть примечания:

 

(2) HPC II only supports memory burst length of 4 in full-rate mode.

(3) HPC II only supports memory burst length of 8 in half-rate mode.

 

 

Это означает, что при full-rate mode максимально допустимое значение local_size = 2.

То есть будут переданы два двойных слова что составит burst length = 4

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Конкретные глюки описаны в err data на ddr/dd2/dd3 контроллеры. Устраняет разработчик - Altera.

Проверил свои проекты - local_size меняется от 1 до 32. 64 пока не использовал.

Если при отключении чего-то появляются ошибки - я бы копал в эту сторону. Повторюсь, при генерации оставляю precharge на откуп Altera.

Спасибо за дельный совет. Перерыл имеющиеся errata на сайте альтеры и из полезного нарыл:

1. увеличить параметр tRP

2. Вместо настроек CHIP-ROW-BANK-COL использовать CHIP-BANK-ROW-COL (это у меня было с самого начала проекта)

3. Пересобрать проект в Q 10.0

 

из этого всего я сделал п.1, и это помогло - я отключил опции манипулирования вручную сигналами precharge и refresh, и данные перестали биться.

 

но вот с п.3 проблема, моя контора итак потратилась на Q 9.1.

А может можно только ядро контроллера заменить как-нить? :rolleyes:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

...

но вот с п.3 проблема, моя контора итак потратилась на Q 9.1.

...

У меня ddr/ddr2 стабильно работает с версией Quartus 9.1 SP2.

 

В DataSheet на HPC2 на странице 12 есть таблица

 

Table 1–3. DDR and DDR2 SDRAM HPC and HPC II Features

Значения, указанные в этой таблице и параметр local_size, о котором я говорил выше, это не одно и то же.

External Memory Interface Handbook Chapter 7: Functional Description—High-Performance Controller II Table 7–1. Burst Length Support и

External Memory Interface Handbook Chapter 7: Functional Description—High-Performance Controller II Top-level Signals Description Table 7–6. Local Interface Signals (Part 2 of 4)

Вам в помощь.

 

local_size[]- Input - Controls the number of beats in the requested read or write access to

memory, encoded as a binary number. The range of supported Avalon burst

lengths is 1 to 64. The width of this signal is derived based on the burst count

specified in the Local Maximum Burst Count option. With the derived width,

choose a value ranging from 1 to the local maximum burst count specified.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У меня с DDR2 тоже подобные проблемы - в тестовом примере от отладочной платы(циклон 4) использовался HPCI - данные писались/читались практически нормально(очень редко были глюки), поменял на HPCII - начался полный П... - при записи по адресу косячуться данные по соседним адресам. Сам не так давно начал работать с DDR2, посоветовать пока ничего не могу, но всем спасибо за информацию, может что поможет :-)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...