Перейти к содержанию
    

кстати, щас добавил output buffer , пропустил через него этот выходной клок, теперь он вот чего пишет:

Warning: PLL "pll125:pll125_1|altpll:altpll_component|pll125_altpll:auto_generated|pll1" output port clk[0] feeds output pin "a_iobuf_out_rus:tx|obufa" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance

то есть, получается, на какой пин я подаю сигнал, вообще не при чем. бред

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

пая просто не понимаю почему компилер ругается , несмотря на то что я вывел clk на dedicated pll output

в конце концов проект выложите. достаточно мигание светляком + плл. делов то на 3 минуты.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

то есть, получается, на какой пин я подаю сигнал, вообще не при чем. бред

пин тут ни при чем, что-то вынудило фиттер тащить сигнал по глобальной шине. смотрите в планнере, куда и как он ходит

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

пин тут ни при чем, что-то вынудило фиттер тащить сигнал по глобальной шине. смотрите в планнере, куда и как он ходит

+1

 

ошибка где то в консерватории, в атаче проект, все работает без варнингов

test.zip

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...