Перейти к содержанию
    

Active-HDL8.2 + Xilinx 12.x

Использую Active-HDL8.2 Update3 (Build 1986.3485.03) в связке с Xilinx ISE 11.1. В принципе всем доволен. Но вот нужно поработать над проектом со Spartan6. Есть Xilinx ISE 12.1 и 12.2. Есть XilinxVHDLLibrariesISE12.1forActive-HDL8.2sp1.exe,DesignFlowUpdatefor8.2sp1.exe. Вся проблема в том, что для них нужен Active-HDL8.2sp1. А вот сам ServicePack1 я что-то упорно не могу найти.

Может кто-нибудь может поделится? К сожалению, доступ на местный ftp ещё не имею.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да, подтверждаю, на местных закромах всё это есть, сам качал оттуда. Так что Вам надо прямо уверенно попросить (может прямо отдельной темой - потому что в этой сразу из заголовка непонятно, в чём Ваша просьба) форумцев поделиться SP1. Сам бы поделился, да инет не позволяет...

У меня пока нет необходимости в спартане6, но немножко начали задалбывать глюки вэйвформ едитора, поэтому захотел перейти на 8.3. Скачал. Но у меня ISE 11.1, а к ней для Active-HDL 8.3 нет библиотек Xilinx Verilog. Надо скачивать ISE. Решено. Если скачивать - то конечно свежий. До недавних пор это был 12.2. Но на местных закромах он в виде единого архива, инет тоже не позволяет его целиком стянуть. Зато недавно выложили 12.3. Стянул. Даже лекарство нашёл. А оказывается Aldec для него ещё не выпустил библиотеки Xilinx Verilog.

Такая вот санта-барбара...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Но у меня ISE 11.1, а к ней для Active-HDL 8.3 нет библиотек Xilinx Verilog.. А оказывается Aldec для него ещё не выпустил библиотеки Xilinx Verilog.

сборка/пересборка либ от любого CAD в любом симуляторе дело 3-5 минут, и не надо ничего качать и ждать пока кто-то, что-то выпустит.

 

ЗЫ. Сам альдек именно так и делает, стандартными средствами пересобирает либы из стандартного пакета и выкладывает архивом.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

сборка/пересборка либ от любого CAD в любом симуляторе дело 3-5 минут, и не надо ничего качать и ждать пока кто-то, что-то выпустит.

 

Да, я уже думал вручную пересобрать нужные библиотеки. Но, во-первых я бы не сказал, что это процесс 3-5 минут (по крайней мере у меня как-то дольше получается :rolleyes:). А во-вторых, всегда есть вероятность, что где-нибудь какую-нибудь галочку выставить забыл, а потом убьёшь кучу времени на поиск несуществующих ошибок. Я склонен доверять "проверенным решениям".

 

По поводу Active-HDL 8.3. Я так понял там уже есть поддержка ISE версий 12.x? Попробую тогда раздобыть (опять-таки не откажусь от помощи в этом вопросе).

Изменено пользователем Vengin

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Но, во-первых я бы не сказал, что это процесс 3-5 минут (по крайней мере у меня как-то дольше получается :rolleyes:). А во-вторых, всегда есть вероятность, что где-нибудь какую-нибудь галочку выставить забыл, а потом убьёшь кучу времени на поиск несуществующих ошибок.

Не надо ля ля, специально для ленивых.

1. копируем нужные сорцы либы в папку проекта либы

2. открываем нужный проект

3. выбираем мышой скрипт compile.do и по правой кнопке делаем run.

всё. работы минут на 5.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не надо ля ля, специально для ленивых.

1. копируем нужные сорцы либы в папку проекта либы

2. открываем нужный проект

3. выбираем мышой скрипт compile.do и по правой кнопке делаем run.

всё. работы минут на 5.

 

Может мы говорим о разных вещах? Вот мне нужно перекомпилять, к примеру, xilinxcorelib (имеющаяся версия от 11.3). Находим её в "...\Aldec\Active-HDL 8.2\Vlib\xilinxcorelib\". Там есть design, все исходники лежат в одной папке, компилируются файлом update_xilinxcorelib.do, плюс файл опций xilinxcorelib.opt.

Теперь я хочу заменить их файлами из ISE 12.x. Они лежат Xilinx\12.1\ISE_DS\ISE\coregen\ip\xilinx\primary\com\xilinx\ip\, причём каждый компонент в своей папке. Уже одни исходники выдрать дело на 10 минут. И тут надо думать будут новые версии, которых в 11.x не было. Соответственно их нужно добавлять в проект, править файлы компиляции.

Соответственно для других библиотек процесс аналогичный.

Я как-то так вижу этот процесс. Может я ошибаюсь и можно проще и быстрее?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Может всё-таки кто-нибудь поделится (даст ссылку) на закрома с ServicePack1 для Active-HDL8.2 или Acitve-HDL8.3?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

закрома - это местный фтп-сервер, туда доступ только для "своих". Как стать "своим" читайте в соответствующем разделе этого форума.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...