Перейти к содержанию
    

Помогите разобраться с пинами FPGA

Суть вопроса. Для своего проекта использовал стандартный kit-овый дизайн от платы NEEK на Cyclone III. В проекте квартуса там распределены пины под сигналы компонентов входящих в станларную систему. Я добавил в неё свой компонент (MAC) с несколькими внешними входами. Задача - в Pin Planer'e присвоить сигналам моего компонента пины. Но в списке доступных сигналов его я не вижу. Что не так? В роде в SOPC builder'e система сгенерирована.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Квартус не просечет изменения в коде пока его не проанализирует (Analysis & Synthesis). Может вы там вообще ерунду написали, потому пинов и не появляется.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Конечно делал. Запускал полную компиляцию. Эффекта - ноль

 

Я так понимаю оценивает Quartus входные/выходные порты по файлу cycloneIII_3c25_niosII_standard.v Но SOPC Builder вносит изменения в файл cycloneIII_3c25_niosII_standard_sopc.v Непонятно, как их ссинхронизировать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Непонятно, как их ссинхронизировать.

ручками, как еще. добавить порты из cycloneIII_3c25_niosII_standard_sopc.v в модуль верхнего уровня

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Попробую. А автоматически не предусмотренно оно? странно как то.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Попробую. А автоматически не предусмотренно оно? странно как то.

почему странно, кроме ниоса еще куча всего может быть. если ничего нет, то можно cycloneIII_3c25_niosII_standard_sopc.v сделать модулем верхнего уровня. правда тогда названия пинов будут длииинные

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

 

Возник вопрос про pin_planer.

Подскажите пжлст, какие могут быть последствия, если к примеру входной пин назван Clk_in, а в assignment editor location указан как clk_in?

Вижу, что Pin Planer показывает, что Clk_in не назначена ножка. Но проект, вроде, работает...

 

Заранее благодарен всем отозвавшимся.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Tcl variable names are case-sensitive. А работает видимо потому, что он и так кинул на global clock именно этот

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Возник вопрос про pin_planer.

Подскажите пжлст, какие могут быть последствия, если к примеру входной пин назван Clk_in, а в assignment editor location указан как clk_in?

Вижу, что Pin Planer показывает, что Clk_in не назначена ножка. Но проект, вроде, работает...

 

В пин-планере различаются, а при использовании назначений фиттером регистр игнорируется. Просто принять как факт.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Т.е. можно оставить так? Туева хуча плат уже прошита, есть смысл перешивать?

 

Ну с точки зрния красоты исходников проекта я бы поправил. С практической же точки зрения разницы никакой - все пины уже назначены куда надо. Ну а если и платы уже прошиты, то сам бог велел ничего не делать...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А это выводы из головы или я плохо искал ответ на этот вопрос в официальных документах?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...