Перейти к содержанию
    

Если кто-то работал с ПЛИС данной фирмы (см. название топика) откликнетесь, ПЛИЗ!

:help: :help: :help:

Есть вопросы по работе с такой платой

PS Недавно начал работать - есть вопросы.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Когда то немножко работал - что вспомню расскажу....

Как подключить тактовую частоту к разработанному устройству (схема описанная на языке или в схемотехническом редакторе).

PS Тактовая частота подключена к микропроцессорной системе.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не совсем понял вопроса - попдробней если можно - что вы подразумеваете:

а) под микропроцессорной системой

б) под тактовой частотой

 

 

Вы хотите затянуть клок в чип? Или что то еще?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не совсем понял вопроса - попдробней если можно - что вы подразумеваете:

а) под микропроцессорной системой

б) под тактовой частотой

 

 

Вы хотите затянуть клок в чип? Или что то еще?

Можно общую инфу почитать здесь

Здесь более подробно расписано

В общем вопрос состоит в следующем как с пина завести в схему тактовую частоту. Мне не доступен в программе для назначения распиновки данный пин, который указан в даташите на отладочную плату.

Например есть счетчик в ПЛИС - как подать на него в "железе" тактовую частоту?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Можно общую инфу почитать здесь

Здесь более подробно расписано

В общем вопрос состоит в следующем как с пина завести в схему тактовую частоту. Мне не доступен в программе для назначения распиновки данный пин, который указан в даташите на отладочную плату.

Например есть счетчик в ПЛИС - как подать на него в "железе" тактовую частоту?

Насколько я понял из бегло прочитанного, напрямую с пина клок не завести (вроде в схеме КИТа нет юзеровских выводов, подключенных к генераторам). Следовательно надо использовать частоты, имеющиеся в MSS. Для этого используется FIC - контроллер интерфейса между MSS и фабрикой. Конфигурирование его либо через SoftConsole либо smartdesign либо ручками. Подробнее разбираться не стал. Читай SmartFusion_MSS_UG.pdf, mss_fic_config_ug_1.pdf, SmartFusion_Fabric_UG.pdf по приведенным тобой ссылкам.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вот Вы опять в своем стиле. С Actel работал много кто, а вот со SmartFusion, который Вам собственно и нужен, пока единицы.

В общем вопрос состоит в следующем как с пина завести в схему тактовую частоту. Мне не доступен в программе для назначения распиновки данный пин, который указан в даташите на отладочную плату.

Это что - инженерное описание проблемы, я извиняюcь? (вы там еще вроде Канта цитируете в подписи на тему умения задавать вопросы...) А того, кто вызовется вам помочь, вы отсылаете на сайт www.actel.com с предложением прочитать несколько десятков документов объемом под сотню мегабайт??

 

Для начала огласите:

1) Наименование кристалла

2) Номер пина, на который заводите частоту

3) IO-макрос, который используется на входе

4) Версия Actel Designer

5) Чем получен EDIF (синтезатор..)

 

В заключение присоединяю скриншот, на котором можно видеть, что пин, на который заведена частота на ките, успешно назначен на свое место.

post-13399-1283888524_thumb.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Это что - инженерное описание проблемы, я извиняюcь? (вы там еще вроде Канта цитируете в подписи на тему умения задавать вопросы...) А того, кто вызовется вам помочь, вы отсылаете на сайт www.actel.com с предложением прочитать несколько десятков документов объемом под сотню мегабайт??

 

Тоже порадовало.  :)

 

 

 

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вот Вы опять в своем стиле. С Actel работал много кто, а вот со SmartFusion, который Вам собственно и нужен, пока единицы.

 

Это что - инженерное описание проблемы, я извиняюcь? (вы там еще вроде Канта цитируете в подписи на тему умения задавать вопросы...) А того, кто вызовется вам помочь, вы отсылаете на сайт www.actel.com с предложением прочитать несколько десятков документов объемом под сотню мегабайт??

 

Для начала огласите:

1) Наименование кристалла

2) Номер пина, на который заводите частоту

3) IO-макрос, который используется на входе

4) Версия Actel Designer

5) Чем получен EDIF (синтезатор..)

 

В заключение присоединяю скриншот, на котором можно видеть, что пин, на который заведена частота на ките, успешно назначен на свое место.

Я сам не знаю где копать прочитал много, но ничего конкретного не накопал, из-за этого и послал на сайт. Чтобы других не вводить заблуждение. Приношу за это свои извинения!

В самом первом сообщении я даю ссылку на страницу сайта с отладочной платой. Там сразу после фотографии приводится кристалл ... :) Ладно по порядку:

1. Кристалл A2F200M3F-FGG484ES

Datasheet на ПЛИС

2. RC генератор находится внутри ПЛИС. Подключается к ПЛИС просто кварц. Пины:

Документ по отладочной плате здесь

стр 20-21

Название раздела

20 MHz Oscillator

32.768 KHz (Low-Power) Oscillator

 

Программа I/O attribute editor (Ваш скриншот этой программы) - в выпадающем списке пинов - пин для клока я не вижу. Прочитал что вроде для этих целей есть библиотечный макрос RCOSC - для подключения тактовой частоты с внутреннего RC генератора. Если можно подтвердите эту информацию или опровергните.

3. Описал обычный счетчик и хочу его работу увидеть осциллографом - никакие дополнительные буферы не подсоединял. Пользовался текстовым редактором, где сделал VHDL описание 32 разрядного счетчика. Потом попробовал про синтезировать и от Designer получить файлы для прошивки. Все ОК - проверка прошла и все ПО работает! Далее попытался сделать распиновку для ПЛИС сигналов (Программа I/O attribute editor (Ваш скриншот этой программы)). Но вот тут и возникла проблема с заданием для сигнала clk счетчика задать пин от которого приходит глобальная тактовая частота. Потом заново прошел бы пройденный путь и все - можно программировать.

4. Версия ПО последняя.

5. Встроенным синтезатором (Sinplify).

 

 

Насколько я понял из бегло прочитанного, напрямую с пина клок не завести (вроде в схеме КИТа нет юзеровских выводов, подключенных к генераторам). Следовательно надо использовать частоты, имеющиеся в MSS. Для этого используется FIC - контроллер интерфейса между MSS и фабрикой. Конфигурирование его либо через SoftConsole либо smartdesign либо ручками. Подробнее разбираться не стал. Читай SmartFusion_MSS_UG.pdf, mss_fic_config_ug_1.pdf, SmartFusion_Fabric_UG.pdf по приведенным тобой ссылкам.

Спасибо! С Вами согласен, но вопрос как это сделать. :)

Читал - пока ничего не накопал конкретного. В Xilinx, Altera клок заводился напрямую с пина и была возможность ее задания (о чем пишет Джеймс). Здесь (для семейств Fusion, SmartFusion) сделано по другому - мое мнение (RC генератор находится внутри ПЛИС). Самое обидное что примеров с использованием встроенного микропроцессора - много. А примера с обычным счетчиком нет. :cranky:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В общем вопрос состоит в следующем как с пина завести в схему тактовую частоту.

 

RC генератор находится внутри ПЛИС. Подключается к ПЛИС просто кварц.

 

Ну так первое и второе это же совершенно разные вещи! Для второго нужен макрос XTLOSC. А есть еще внутренний RC-генератор, который и кварца не требует! (ниже пишите про RCOSC)

 

Прочитал что вроде для этих целей есть библиотечный макрос RCOSC - для подключения тактовой частоты с внутреннего RC генератора. Если можно подтвердите эту информацию или опровергните.

 

Есть макрос RCOSC. Насколько я понял, выход с XTLOSC или RTOSC должен проходить еще через CLKSRC. Больше ничего подтвердить не могу, т.к. со SmartFusion еще не работал. Это совершенно новое семейство! Как видите Вы здесь первый кто с ним начал разбираться. Могу дать дельный совет - обратитесь в службу технической поддержки.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2Maverick: Что-то я никак не пойму, зачем Вы пытаетесь тащить CLK c пина. Насколько я понял, вытащить MSS-шный клок на FPGA-фабрику можно примерно так:

1) Импортируйте свой VHDL-файл cо счетчиком в проект.

2) Сконфигурируйте FIC в MSS-конфигураторе, включив например AHBlite-интерфейс.

3) Сконфигурируйте внутри MSS остальные блоки, которые планируете использовать.

4) Вставьте в Smartdesign MSS и свой счетчик

5) Мапьте AHB clock из FIC и входной клок свеого счетчика

 

вроде как-то так

 

Если же Вам непременно нужен внешний клок то тащите его с юзер-I/O, например с того, что предложил Джеймс.

Ну и совсем брежу: если Вам не нужен MSS, зачем вы купили ТАКОЙ кит.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну так первое и второе это же совершенно разные вещи! Для второго нужен макрос XTLOSC. А есть еще внутренний RC-генератор, который и кварца не требует! (ниже пишите про RCOSC)

 

 

 

Есть макрос RCOSC. Насколько я понял, выход с XTLOSC или RTOSC должен проходить еще через CLKSRC. Больше ничего подтвердить не могу, т.к. со SmartFusion еще не работал. Это совершенно новое семейство! Как видите Вы здесь первый кто с ним начал разбираться. Могу дать дельный совет - обратитесь в службу технической поддержки.

Спасибо! В службу технической поддержки писать придется, т.к. вчера захотел проверить прочитанное (по сути что Вы написали) на практике. Начал делать файл для схемотехнического редактора, чтобы подсоединить эти макросы к счетчику. Так мне ПО выдало (см. вложение). Захожу по этому пути с помощью проводника Windows, а папки-то действительно нет, хотя для других семейств имеются. Ладно думаю... Может я ошибся при установке, нашел другой свободный компьютер на работе - поставил все ПО от Actel (со всеми галочками). Проверяю - такая же ошибка. Таким образом, ПО от Actel не дало библиотеки библиотечных элементов для схемотехнического редактора - мое мнение.

 

2Maverick: Что-то я никак не пойму, зачем Вы пытаетесь тащить CLK c пина. Насколько я понял, вытащить MSS-шный клок на FPGA-фабрику можно примерно так:

1) Импортируйте свой VHDL-файл cо счетчиком в проект.

2) Сконфигурируйте FIC в MSS-конфигураторе, включив например AHBlite-интерфейс.

3) Сконфигурируйте внутри MSS остальные блоки, которые планируете использовать.

4) Вставьте в Smartdesign MSS и свой счетчик

5) Мапьте AHB clock из FIC и входной клок свеого счетчика

 

вроде как-то так

 

Если же Вам непременно нужен внешний клок то тащите его с юзер-I/O, например с того, что предложил Джеймс.

Ну и совсем брежу: если Вам не нужен MSS, зачем вы купили ТАКОЙ кит.

Я просто пытаюсь завести на счетчик тактовую частоту...

В ПЛИС семейств Fusion, SmartFusion встроен внутренний RC генератор - это первые такие ПЛИС (во всяком случае я о других не слышал). Всегда для ПЛИС использовался внешний кварцевый генератор и тактовая частота заводилась на разработанную цифровую логику указанием для сигнала clk (тактовая частота для разработанной цифровой схемы в ПЛИС) номер/названия пина.

По Вашему мнению если в ПЛИС сделан процессор то я его всегда должен использовать, если я даже отлаживаю цифровую схему, которая работает автономно от процессора. Например у Xilinx в серии(семейства) Virtex II встроен процессор Power PC, так можно было использовать программируемую логику без участия самого процессора. Здесь мне кажется это тоже должно быть - примерно, а может точно так как описывает Джеймс, проверю на практике - отпишусь. Мое мнение - должно быть подобное - тактовая частота (сигнал clk) просто заводится на цифровую схему например через какой-то библиотечный макрос, который берет тактовую частоту с внутреннего RC генератора. Другими словами, на разработанную цифровую схему с помощью библиотечного макроса будет подаваться тактовая частота от внутреннего RC генератора. Это и описывает на мой взгляд Джеймс и я это понял из прочитанного мною материала.

post-24839-1284017723_thumb.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Мое мнение - должно быть подобное - тактовая частота (сигнал clk) просто заводится на цифровую схему например через какой-то библиотечный макрос, который берет тактовую частоту с внутреннего RC генератора. Другими словами, на разработанную цифровую схему с помощью библиотечного макроса будет подаваться тактовая частота от внутреннего RC генератора.

Да. За одним добавлением. У Вас есть 3(!) возможности:

1) Завести частоту напрямую с разъема на ките (в моем первом сообщении со скриншотом содержится ошибка - 50MHz по схеме выход, а не вход). Штатно на ките кварц. генератора судя по всему нет.

2) Задействовать кварцевый резонатор (стр. 21 в A2F_EVAL_KIT_UG.pdf) при помощи макроса XTLOSC.

3) Задействовать внутренний генератор на 100MHz при помощи макроса RCOSC.

 

То, что Вы начали делать во ViewDraw, можно сделать в Actel Libero в SmartDesign. Я кстати попытался, но - среди макросов XTLOSC вообще отсутствует. RCOSC имеется. CLKSRC тоже есть.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да. За одним добавлением. У Вас есть 3(!) возможности:

1) Завести частоту напрямую с разъема на ките (в моем первом сообщении со скриншотом содержится ошибка - 50MHz по схеме выход, а не вход). Штатно на ките кварц. генератора судя по всему нет.

2) Задействовать кварцевый резонатор (стр. 21 в A2F_EVAL_KIT_UG.pdf) при помощи макроса XTLOSC.

3) Задействовать внутренний генератор на 100MHz при помощи макроса RCOSC.

 

То, что Вы начали делать во ViewDraw, можно сделать в Actel Libero в SmartDesign. Я кстати попытался, но - среди макросов XTLOSC вообще отсутствует. RCOSC имеется. CLKSRC тоже есть.

Спасибо за помощь! Будем пробовать и разбираться далее...

Еще вопрос у Вас появляется такая ошибка при работе с этим новым семейством ПЛИС (SmartFusion) и имеется ли библиотека макросов для этого семейства (SmartFusion)? Скриншот ошибки в предыдущем посте.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Как подключить тактовую частоту к разработанному устройству (схема описанная на языке или в схемотехническом редакторе).

PS Тактовая частота подключена к микропроцессорной системе.

 

Подключить просто!. Открываешь MSS конфигуратор и в нем ClockManagement. Конфигурируешь частоты. В качестве источника частоты может выступать FAB_CLK, GLC или, если снять галочку с FAB_CLK, выход GLB. Затем в SmartDesigner делаешь модуль в который добавляешь процессорный модуль (он включает и блок частоты) и свой модуль. На процессорном модуле будут выходы тактовой частоты, которые соединяешь с тактовым входом своего модуля.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...