Перейти к содержанию
    

UART на верилоге ищу .

Уважаемые , поделитесь пожалуйста сабжем , желательно чтоб попроще был .

*****************************************************

Я нашёл вот такой - в приложении .

 

Но он в моих кривых руках работает плохо - шлю в него одно число , а ловится что-то другое . Я уж и так и сяк - ничего не получается .

Поделитесь чем-нибудь простым где сразу ясно - тут вот мы клок такой-то частоты выставляем , тут-то у нас такой-то бодрейт и т.д.

 

спасибо :) .

 

з.ы.

..ну или разжуйте что не так с этим модулем или как его применять .

Частота Clk у меня в ПЛИСе - 50 Мгц

uart.v.txt

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

..ну или разжуйте что не так с этим модулем или как его применять .

Частота Clk у меня в ПЛИСе - 50 Мгц

В этом примере главное это то, что он простой. А чтобы работало нужно его переделывать или искать пример "для жизни"... Там на входе приемника нужно делать сначала CDC, потом, если необходимо ставить цифровой фильтр, чтобы избавиться от дребезга на фронтах RX, а потом, если хотите, чтобы всегда работало и на длинный кабель тоже, надо брать 3 отсчета в середине бита и делать мажоритар. Вот этим-то "Учебно-боевая стрельба" и отличается от "реального" проекта. Сейчас под руками у меня ничего такого нет, но думаю, что найти аппликуху у Ксайлинкса-Альтеры не проблема...

Удачи!

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

.....

Удачи!

 

...жжжесть ! :smile3009: Спасибо .

 

уважаемые - я по прежнему в поиске .

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Собсно прожект

RS-232

По моему проще некуда + описание.

 

 

Спасибо большое .

 

Кстати , со своим примером тоже разобрался -

надо было принятые данные запоминать по спаду сигнала rx_ready_out , а не по переднему фронту .

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кстати , со своим примером тоже разобрался -

надо было принятые данные запоминать по спаду сигнала rx_ready_out , а не по переднему фронту .

Лучшн по переднему фронту тактового сигнала при активном rx_ready_out. (на тактовый вход триггера - тактовый сигнал, а нв вход разрешения работы триггера - сигнал rx_ready_out)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Лучшн по переднему фронту тактового сигнала при активном rx_ready_out. (на тактовый вход триггера - тактовый сигнал, а нв вход разрешения работы триггера - сигнал rx_ready_out)

 

Прошу прощения , за может глупый вопрос , но в чём преимущество такого метода ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Прошу прощения , за может глупый вопрос , но в чём преимущество такого метода ?

Если источником сигнала является комбинаторика, то не будет ложных срабатываний от переходных процессов. Так же не будут использоваться глобальные линии FPGA для тактового сигнала(в указанном вами случае он именно им и является для данной группы триггеров).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Тема перенесена в более подходящее место

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

...жжжесть ! :smile3009: Спасибо .

 

уважаемые - я по прежнему в поиске .

Я использовал опен коре. сделай verilog wrapper вокруг VHDL и все.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...