Перейти к содержанию
    

После альтеры пытаюсь вникнуть в xilinx. В голове вертится только один вопрос: как люди работают на этом говне под названием ISE?

Он же глючит нещадно! Причем в элементарных вещах - то символ не создается, то шина не хочет подключаться. Как при этом можно создавать серьезные проекты?

 

Да я б... да на их месте... вообще убрал графику из ISE, а еще бы и ISIM в том-же направлении :-)

Лучше бы PlanAHEAD до ума доводили.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В графике? Никак.

 

Теперь все понятно. Спасибо, что подтвердили мои догадки.

Но все таки, мне нужно сделать сегодня пилотный проект на Spartan-6. Может кто-то подскажет одну вещь...

Я сгенерировал при помощи MIG интерфейс DDR2. Потом сделал новый проект (schematic top level) и хочу что бы сгенерированное IP ядро присутствовало на верхнем уровне в виде символа. Как создать этот символ?

В хелпах пишут, что символы генерируются автоматически, но этого не происходит (версии ISE 11.4 и 12.1).

 

PS А на счет графики в ISE... Хрен с ней. Но и с текстом то работать не получается. Почему то когда я открываю в ISE большой Verilog файл, у меня загрузка процессора под 100%. Просто открываю, даже не редактирую. Ужасная программа!

Изменено пользователем deus

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

PS А на счет графики в ISE... Хрен с ней. Но и с текстом то работать не получается. Почему то когда я открываю в ISE большой Verilog файл, у меня загрузка процессора под 100%. Просто открываю, даже не редактирую. Ужасная программа!

А Вы редактируйте HDL файлы в AHDL, намного удобнее и приятнее. Ну а ISE используйте только как implement'атор. А с синтезатором - по желанию: можно и встроенный пользовать, а можно и внешний...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А Вы редактируйте HDL файлы в AHDL, намного удобнее и приятнее. Ну а ISE используйте только как implement'атор. А с синтезатором - по желанию: можно и встроенный пользовать, а можно и внешний...

Зря советуете бывшему альтерщику редактировать файлы в AHDL, потому как у Альтеры аббревиатура AHDL используется для их самопального языка "Altera Hardware Description Language" :laughing:

Здесь же, очевидно, имелся в виду Aldec Active-HDL, да?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

12.1 - интересно, хотя и сыро.

-PlanAhead вываливается. В Planahead появилась гистограмма задержек, как в Altera Timequest.

-Опции многопоточной сборки -mt почему-то недоступны и не используютя в стратегии Balanced. Потребовался ручной ввод -mt 2 в опциях проекта .

-Сборка идёт чуть быстрее, чем в 11.5.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

PS А на счет графики в ISE... Хрен с ней. Но и с текстом то работать не получается. Почему то когда я открываю в ISE большой Verilog файл, у меня загрузка процессора под 100%. Просто открываю, даже не редактирую. Ужасная программа!

Эт точно, редактор там у них кривоватый. На больших файлах и при нескольких открытых файлах начинает тормозить и память потихоньку отжирать.

Я прикрутил внешний редактор (kate с подсветкой синтаксиса vhdl и ucf) - намного стало комфортней. Только один минус - не работает поиск по всем файлам проекта.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

не подскажите сколько места на диске занимает 12.1 + edk ?

 

и вообще интересно кто-нибудь имел дело с установкой среды разработки на ssd диск, будет ли прирост удобства/скорости работы ?

 

спасибо!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

не подскажите сколько места на диске занимает 12.1 + edk ?

[faa@tresh ISE_DS]$ du -c -s

11G .

11G итого

 

[faa@tresh ISE_DS]$ ls -l .

итого 36

drwxrwxr-x 9 faa faa 4096 2010-05-05 14:11 common/

drwxrwxr-x 15 faa faa 4096 2010-05-05 14:12 EDK/

drwxrwxr-x 94 faa faa 4096 2010-05-05 14:18 ISE/

drwxrwxr-x 14 faa faa 4096 2010-05-05 14:11 PlanAhead/

-rw-r--r-- 1 faa faa 3042 2010-04-10 13:27 readme.txt

-rw-rw-r-- 1 faa faa 1211 2010-05-05 14:10 settings32.csh

-rw-rw-r-- 1 faa faa 927 2010-05-05 14:10 settings32.sh

-rw-rw-r-- 1 faa faa 1211 2010-05-05 14:10 settings64.csh

-rw-rw-r-- 1 faa faa 927 2010-05-05 14:10 settings64.sh

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Просто интересно ISE 12.1 поддерживает стандарт VHDL 2008?

 

PS скорее всего нет.

PS PS и когда планируется производителями ПЛИС поддержка данного стандарта?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Есть проблема с ISE 12.1. При запуске ModelSim из ISE пишет неизвестная ошибка и отказывается запускать.. В то же самое время из ISE 11.5 с тем же ModelSim все работает..

Никто не сталкивался с этим?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

господа взял из закромов архив, при распаковывании говорит - битый файл. Это только у меня так. OS - CentOS5.4

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Господа, присоветуйте.

Юзаю 9.2, и утомила она меня уже :).

 

На что лучше переходить, на 11.х или 12.1?

Что более разумно и менее глючно (менееглючность важна гораздо больше).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

После альтеры пытаюсь вникнуть в xilinx. В голове вертится только один вопрос: как люди работают на этом говне под названием ISE?

Он же глючит нещадно! Причем в элементарных вещах - то символ не создается, то шина не хочет подключаться. Как при этом можно создавать серьезные проекты?

 

Гы... на днях пришлось модифицировать старый проект под Quartus.

Лет пять за ним не сидел. И словил себя на мысли - как люди могут работать на этом Quartusе...

(про фекалии ни слова :). Я это к тому - что кто к чему привык. Я примерно за 15 лет работы с ПЛИС

поровну работал и с Altera и с Xilinx. Сейчас мне гораздо удобнее ISE, графика - зло, ей не пользуюсь.

Серьезные проекты и графика вообще мало совместимы.

Единственно, с очень добрыми словами могу вспомнить MAX+PLUS II. Там практически не было к чему придраться.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

На что лучше переходить, на 11.х или 12.1?

Что более разумно и менее глючно (менееглючность важна гораздо больше).

Ну, тут тяжело сказать,.. ISE 12.1 - это фактически ISE 11.6 (кое-где в документации это проскакивает).

Для установки ISE 12.1 на не английскую (или не японскую) винду нужен patch. Есть еще пачка patch'чей устраняющие те или иные промахи разработчиков... Для ISE 11.5 тоже могут понадобиться patch'и, чтобы исчез ряд ошибок отсутствующий в 11.4. А 11.4 имеет "не уточнённые" (т.е. завышенные) timing для Spatran/Virtex-6 и свои ошибки, поправленные в 11.5 или 11.6 (12.1).

Ну вот как-то так...

 

P.S. На моей фирме для работы с V-6 пока пользуются ISE 11.5 + some patches, на ISE 12.1 пока пересаживаться не хотят - говорят "ждём 12.2 Update".

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Victor@

 

В ICE и прочих программулинах от Xilinx очень радует любовь этих сред к выдаче ошибок, по которым крайне сложно понять, в чём причина возникновения этих ошибок.

Например, "в таком-то файле, такой-то строке такая-то ошибка" (файл - зашифрованный верилог, и ошибка не в нем, но найди ее по такому сообщению?).

 

Boris_TS

 

Я так понял, что лучше всего 11.5?

А железо содержит Virtex 5 и Spartan 3, 6-х пока не ожидается...

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...