Перейти к содержанию
    

Как в Modelsim посмотреть внутренний сигнал?

Делаю проект в ISE, симулирую в Modelsim. Modelsim только начал осваивать, потому и вопрос будет соответствующий...

Проблема такая, при моделировании Modelsim автоматически показывает все сигналы, которые подключенны к пинам. Сигналы внутри кристалла он не показывает. Как посмотреть поведение какого-либо внутреннего сигнала?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Имеется в виду сигнал типа wire? Так его нету, он соптимизирован.

А регистры обычно немного переименованы, но найти тоже можно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Делаю проект в ISE, симулирую в Modelsim. Modelsim только начал осваивать, потому и вопрос будет соответствующий...

Ответ тоже соответствующий: не осваивать методом тыка, а почитать какой туториал на него, или user guide. Почему народ категорически не хочет читать документацию?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Делаю проект в ISE, симулирую в Modelsim. Modelsim только начал осваивать, потому и вопрос будет соответствующий...

Ответ тоже соответствующий: не осваивать методом тыка, а почитать какой туториал на него, или user guide. Почему народ категорически не хочет читать документацию?

 

народ и читает и спрашивает... одно другому не мешает вообще-то

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Делаю проект в ISE, симулирую в Modelsim. Modelsim только начал осваивать, потому и вопрос будет соответствующий...

Ответ тоже соответствующий: не осваивать методом тыка, а почитать какой туториал на него, или user guide. Почему народ категорически не хочет читать документацию?

 

народ и читает и спрашивает... одно другому не мешает вообще-то

 

Что, так никто и скажет человеку?! Стыдно, коллеги!!!

 

add wave -r /*

 

Рекурсивно возьмет для моделирования ВСЕ сигналы. ОЧЕНЬ медленно. Но зато вы увидите ВСЁ, что творится в вашем девайсе.

 

Вообще рекомендую посмотреть на мой файлик SIMULFULL.DO

Запускать его надо руками что-то типа Tools-> ExecuteMacro

Не забудьте перед этим установить текущий каталог: File->Change directory

Само собой, внимательно посмотрите, какие файлы вы запускаете на моделирование.

 

 

## NOTE: Do not edit this file.

## Auto generated by Project Navigator for VHDL Post-PAR Simulation

##

vlib work

## Compile Post-PAR Model for Module top

vcom -87 -explicit top_timesim.vhd

vcom -93 -explicit bench.vhd

vsim -t 1ps -sdfmax /UUT=top_timesim.sdf -lib work top_bench_vhd_tb

## do top_bench_vhd_tb.udo

view wave

add wave -r /*

run 15 us

## End

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Большое спасибо Gorby

 

Гы! Надо помогать друг другу. Мы ж люди. Не звери. (с)прапор Задов

А гроши у вас есть?!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вот это некропостинг.

Хорошо отвечать на вопрос 16-летней давности.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Это кстати много что говорит о форуме если за 16 лет никто четко и равелно не ответил на вопрос

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 часа назад, Berl сказал:

Это кстати много что говорит о форуме если за 16 лет никто четко и равелно не ответил на вопрос

Форум - это не бесплатная помогалка(хотя эти функции тоже прекрасно выполняет). А место, где специалисты обмениваются опытом. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...