Перейти к содержанию
    

Делитель частоты

Тут не принято делать всю работу за других.

Выложите Ваш код, напишите что не получается, спросите что-то конкретное - и Вам постараются помочь.

 

 

Тем более, вопрос на 5 минут. Да и обсуждался уже не раз.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я VHDL не знаю, но делается наверно через счетчик - 25 бит. Как переменная счетчика становится равной 25 млн. - состояние на выходе меняется на противоположное...вроде так.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подскажите описание на VHDL схемы делителя частоты с 50МГц до точно 2 Гц.
Народ, ну Вы уже ваще, лабы на фаруме просить сделать... На большее не тянет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подскажите описание на VHDL схемы делителя частоты с 50МГц до точно 2 Гц.

Подсказываю - берём VHDL, в нём реализуем счётчик на 25 разрядов, компарер и тригер, соединяем и получаем то что требовалось

:biggrin:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подскажите описание на VHDL схемы делителя частоты с 50МГц до точно 2 Гц.

в чем конкретно заключается проблема/сложность?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Может быть сложность в том, что нужно получить меандр?

 

Нерешаемая задача  :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Нерешаемая задача  :)

Так я о том же =)

 

Хотя, если входную частоту удвоить, то можно и меандр.

 

_2Fin<=Fin xor not Fin; - При определенном колдовстве с настройками синтеза такое возможно.

Далее делим 100 МHz на 25000000 и еще раз на 2. Таким образом получаем 2 Hz меандр.

Изменено пользователем andrewkrot

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Так я о том же =)

 

Хотя, если входную частоту удвоить, то можно и меандр.

 

_2Fin<=Fin xor not Fin; - При определенном колдовстве с настройками синтеза такое возможно.

Далее делим 100 МHz на 25000000 и еще раз на 2. Таким образом получаем 2 Hz меандр.

А, может, просто легче обычный счетчик использовать?  :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А, может, просто легче обычный счетчик использовать?  :)

Обычным меандр не получится, наверное =) Мы не ищем легких путей. Ищо бы и PLL прикрутить =)

Изменено пользователем andrewkrot

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Обычным меандр не получится, наверное =) Мы не ищем легких путей. Ищо бы и PLL прикрутить =)

А что не получится то?  :)

 

Считаем до divider/2, инвертируем выходной клок, опять считаем до divider/2, опять инвертируем и т.д.

 

Ну, PLL то, как раз, самый правильный способ, если нужен нормальный клок. Только вот для слишком низких частот не подходит.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Только вот автор куда-то пропал... Уважаемый Денисов, может хоть скажете в каком заведении Вы учитесь?.. =)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Какая-то очень простая лаба.

Мы сигма-дельтаЦАП, насколько я помню, ваяли...

Изменено пользователем Koluchiy

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Какая-то очень простая лаба.

Мы сигма-дельтаЦАП, насколько я помню, ваяли...

Везет, я когда заканчивал САПР в КПИ (2000 год), нам ничего подобного даже и не рассказывали

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...