Перейти к содержанию
    

Вечер добрый!

 

Возникла задача сделать часы на программируемой логике, по количеству входов выбрал CPLD от Altera - EPM3032.

Понимаю что задача совсем не для CPLD/FPGA, а для простенького МК, но такое уж задание (учебное).

 

Итак, время вопросов. Первый вопрос возник по тактированию.

Мы имеем 2 входа: GCLK1 и GCLK2, в моей задаче нужен всего один вход тактирования, возьмем GCLK1.

Как показал поиск по форуму - в основном используют кварцевые генераторы, но таковых на 32,768кГц не нашлось. Зато нашлись резонаторы.

Внимание вопрос: корректно ли будет работать EPM3032 затактированная столь мало частотой?

Если да, то какую схему подключения CLK вы бы посоветовали?

В данный момент пытаюсь собрать что-то из этого: http://cxem.net/beginner/beginner26.php (внизу станицы) на макетке, но часовой кварц не заводится, наверное из-за не точного соответствия номиналов деталек(просто не все нужные номиналы в наличии), или из за того что микросхема TTL а не CMOS (скоро попробую и КМОП, пока нету нужной).

 

Заранее спасибо за советы!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вечер добрый!

 

Возникла задача сделать часы на программируемой логике, по количеству входов выбрал CPLD от Altera - EPM3032.

Понимаю что задача совсем не для CPLD/FPGA, а для простенького МК, но такое уж задание (учебное).

 

Итак, время вопросов. Первый вопрос возник по тактированию.

Мы имеем 2 входа: GCLK1 и GCLK2, в моей задаче нужен всего один вход тактирования, возьмем GCLK1.

Как показал поиск по форуму - в основном используют кварцевые генераторы, но таковых на 32,768кГц не нашлось. Зато нашлись резонаторы.

Внимание вопрос: корректно ли будет работать EPM3032 затактированная столь мало частотой?

Если да, то какую схему подключения CLK вы бы посоветовали?

В данный момент пытаюсь собрать что-то из этого: http://cxem.net/beginner/beginner26.php (внизу станицы) на макетке, но часовой кварц не заводится, наверное из-за не точного соответствия номиналов деталек(просто не все нужные номиналы в наличии), или из за того что микросхема TTL а не CMOS (скоро попробую и КМОП, пока нету нужной).

 

Заранее спасибо за советы!

 

Если учебное, комбинируйте. Еще 100 лет назад часы делали на трех микросхемах 176 серии. Не помню каких. Вот на одну из них лепится этот часовой кварц. На выходе вроде 1 секунда. Вот и сделайте все остальное на EPM, учитывая, что 32 - это 32 триггерных ячейки.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если учебное, комбинируйте. Еще 100 лет назад часы делали на трех микросхемах 176 серии. Не помню каких. Вот на одну из них лепится этот часовой кварц. На выходе вроде 1 секунда. Вот и сделайте все остальное на EPM, учитывая, что 32 - это 32 триггерных ячейки.

 

Верно ли я понял из вашего ответа, что нижнего ограничения частоты нет, и при сигнале раз в секунду все будет работать?

Про спец. микрухи:

смысл использовать малую часть функционала от 176ИЕ13 чтобы потом продублировать остальную на EPM? Задача именно в замене рассыпухи на CPLD. К томуже я её собирать буду, и в наличии тока CPLD и минимум обвязки, из микросхем поставлю только какую-нибудь логическую (инвертор/И/ИЛИ) для кварца. Вот как раз прошу подсказать, как с малыми потерями подключить часовой кварц с CPLD?

 

Про макроячейки я что-то не задумывался что может не хватить, но если не хватит - не страшно, перейду на что-нить побольше.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Понимаю что задача совсем не для CPLD/FPGA, а для простенького МК, но такое уж задание (учебное).

Мелковата микросхема, не понимаю, как в нее засунуть часы.

Если тупо делать, то регистров хватит только чтобы поделить 4 килогерца и сделать время в BCD на ножках.

Как сделать генератор на кишках программируемого устройства обсуждалось. Я бы не стал заморачиваться.

А если поставить что-то позлее, то можно не заморачиваться с частотой степени двойки и взять генератор на несколько мегагерц, можно даже поточнее выбрать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вот как раз прошу подсказать, как с малыми потерями подключить часовой кварц с CPLD?

 

А никак.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Т.е. схему по типу этой

beginner26-12.gif

Нельзя использовать? Неужели все используют генераторы?

 

 

Shtirlits, просто о ячейках не подумал при выборе, наверное придется выбрать модель с большим кол-вом ячеек.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Т.е. схему по типу этой

Для такой схемы нужен небуферизированный (unbuffered) инвертор, иначе запуск генератора превращается в долгую пляску с бубном.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Недавно была тема про генератор http://electronix.ru/forum/index.php?showtopic=67209

Советую сначала написать все, что нужно для прошивки, отладить в симуляторе, а потом покупать и паять.

Так не будет неожиданностей с распайкой и ресурсами.

 

А можно узнать, как точно сформулированы критерии выбора железа? Может что-то интереснее cpld можно применить?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Часы с питанием от сети или от батарейки? Если первое, то, может, вообще можно без кварца? ИМХО, раз уж устройство учебное, попробуйте использовать в качестве опорной частоты питающую сеть - разумеется, точность никакая, зато есть вероятность, что все влезет и в EPM3032.

 

Удачи!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Для такой схемы нужен небуферизированный (unbuffered) инвертор, иначе запуск генератора превращается в долгую пляску с бубном.

Я когда-то давненько делал девайсы на серии MAX7000, так генератор делал просто, по классической схеме с 2-мя инверторами. Т.е. просто вводил в CPLD 2 инвертора. Естественно при этом используется 4 ноги, но зато проблем с запуском ни разу не возникло.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

даю код vhdl

правда я не отлаживал - только написал - заодно и проверишь

clock_main.vhd

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Всм большое спасибо за ответы! Итак, по порядку

 

Для такой схемы нужен небуферизированный (unbuffered) инвертор, иначе запуск генератора превращается в долгую пляску с бубном.

 

Такие как К561ЛН2, 564ЛЕ5, 564ЛА7 подойдут? не совсем понимаю что значит "небуферизированный".

 

А можно узнать, как точно сформулированы критерии выбора железа? Может что-то интереснее cpld можно применить?

 

Требование - ПЛИС от Altera, на самом деле выбор велик, просто выбрал 3032 для себя, попробовать собрать что-то на ПЛИС, мб и не часы совсем (3032 нашлась по дешовке и платы легко делать с дорожками в 1мм). Но если не хватает возьму какуюнить более емкую ПЛИС, например EPM3512 или др.

 

Часы с питанием от сети или от батарейки? Если первое, то, может, вообще можно без кварца? ИМХО, раз уж устройство учебное, попробуйте использовать в качестве опорной частоты питающую сеть - разумеется, точность никакая, зато есть вероятность, что все влезет и в EPM3032.

Питание от сети, а в случае отсутствия - от батарейки. Использовать 50Гц для отсчета времени не кошерно ИМХО) в таком случае я лучше поставлю генератор на несколько МГц и от него считать буду) А использование 3032 не обязательно, наверно я не на том сделал акцент в своем посте - я выясняю как правильно и не сложно тактировать CPLD используя кварц 32КГц.

 

 

даю код vhdl

правда я не отлаживал - только написал - заодно и проверишь

Спасибо! о _ О Не ожидал готового решения, будет на что опираться при разработке, но постараюсь все сделать сам) всетаки учусь :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Такие как К561ЛН2, 564ЛЕ5, 564ЛА7 подойдут?

Не знаю, это надо проверять.

 

не совсем понимаю что значит "небуферизированный".

Значит сделанный буквально из двух транзисторов. Тыц.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Такие как К561ЛН2, 564ЛЕ5, 564ЛА7 подойдут? не совсем понимаю что значит "небуферизированный".

 

http://www.fpgarelated.com/usenet/fpga/show/9209-1.php

 

Re: Connecting a crystal to a Cyclone or Max PLD - Peter Alfke - 2004-05-03 18:54:00

Driving a crystal from an PLD device.

 

Since this question pops up again and again, maybe it deserves a better

explanation.

 

A crystal is usually connected as a Colpitts oscillator, where the IC

provides the first 180 degree phase shift, and the xtal plus external RC

combine for the remaining 180 degrees. The total circuit loop must have 360

degree phase shift and a gain of exactly 1.0. That is the condition for

stable oscillation.

 

XC3000 had such a single-stage amplifier, and could implement an oscillator

with just a crystal, two capacitors and two resistors.

But there were lots of problems when users connected obscure crystals,

ranging from 32 kHz to 100 MHz. Most digital designers lack even the most

rudimentary understanding of oscillators, why they require a single

amplifier stage, and why they cannot reliably be implemented with the

multi-stage amplifier typically between an input and an output of a modern

CMOS IC.

 

So, please, don't even try. You will not be able to design a reliable xtal

oscillator this way, one that starts and runs reliably, and does not break

out in wild harmonic or non-harmonic oscillations.

 

Finally: Packaged oscillators are cheap, just pennies more than the simple

xtal. It does not make sense to jeopardize your design for the $ 0.25 saved

by using a naked crystal. Let the oscillator manufacturers sweat out all

those analog details, they are good at it. Us digital folks are not.

 

Peter Alfke

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кварцованые генераторы на рассыпухе(равно как и на CPLD) - вещь надежная только при грамотном расчете всех балансов. Как это сделать для таких схем, честно говоря не знаю. Делал схемы кварцевых генераторов на микросхеме КР1533ЛН1 (элемент -НЕ). Вроде все работало, но попадались экземпляры(в зависимости от партии ЛН1 или партии кварцев) которые либо не всегда запускались, либо иногда взвывали не на той гармонике кварца. Все решалось подбором емкостей или вводом дополнительной обратной связи. Тоже самое с CPLD. Если такая надежность устраивает, то в добрый путь. В противном случае рекомендую обратить внимание на микросхемы со встроенным RTC. У некоторых из них присутствует встроенный генератор и есть выход с 1 сек. импульсами.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...