Перейти к содержанию
    

Добрый день. Начал работать с Altera Cyclone III NEEK. И сейчас не могу решить одну проблему -> Реализация TCP/IP (лицензия есть) без использования MicroOC/OS-II (нет лицензии). Проект коммерческий, а стоимость MicroOC слишком велика.

Посоветуйте как можно реализовать стек TCP/IP?

Спасибо

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подскажите как можно выполнить симуляцию схемы, учитывающую работу программы ядра nios? Qsym этого не позволяет. Пробовал Modelsim, там тоже симулируется только проект квартуса, в его HDL форме. nios не добавить

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Все, разобрался.Есть такая возможность. Почему сообщения удалять нельзя?

Изменено пользователем triplex

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кто знает каковы задержки при работе с блоком uart в ниос. При считывании или записи байта данных. Они такие же как при работе с pio или существенно выше?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

При считывании или записи байта данных. Они такие же как при работе с pio или существенно выше?

Если через регистры, то как с PIO. Если с использованием стандартных функций libc, то есть небольшой оверхед на буферизацию.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте, уважаемые!

Начал разбираться с NIOS -- появился вопрос по SDRAM

Плата DE-SOC от terasic.

Учебный проект -- ниос + пио+SDRAM.

Прога до безобразия проста -- цикл FOR -- где пишу и сразу по тому же адресу читаю с внешней памяти.

Так ,вот при частоте 100 МГц время записи/ чтения 64 Мб составляет примерно 35 секунд- -- по моему это очень много!!!???

Ниос -- самая минимальная версия (е)

Еще заметил в дебаге, при просмотре монитора памяти то видно, что данные пишет не только в SDRAM но и в память onchip memory, и также по адресам которые в линкере вообще не присутствуют. Как это можно понять?

Использую функции IOWR(), IORD().

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А сколько, по-вашему, должно быть? Только с прикидочным расчетом, пожалуйста. Начните с этого, и постепенно с помощью "обчества" мы во всем разберемся :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Всем привет!!! Кто-нибудь делал в qsys мультипроцессорные системы? Я вот почитал этот тутор https://www.altera.com/en_US/pdfs/literatur...or_tutorial.pdf Вроде спроектировал все норм., но когда дело дошло до eclipse "Failed to execute: ./create-this-bsp --cpu-name nios2_qsys --no-make" сказала мне SBT. Ошибка появляется при портировании проекта в eclipse, выбираешь новый->приложение и BSP шаблон-> выбираешь файл sopcinfo, выбираешь процессор и нажимаешь на finish и выскакивает ошибка. Кто встречался? Как бороться?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подскажите.Я создаю в SOPC Builder свои компоненты, добавляю их в проект, компилирую, все нормально.Потом повторно захожу в SOPC Builder, если надо что-то изменить - этих компонентов в меню Library уже нет. И компиляция тоже выдает ошибку. Надо опять заходить в new component, открывать .tcl файлы и заново их создавать и добавлять. Что надо сделать, чтобы они сохранялись?

Изменено пользователем triplex

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подскажите.Я создаю в SOPC Builder свои компоненты, добавляю их в проект, компилирую, все нормально.Потом повторно захожу в SOPC Builder, если надо что-то изменить - этих компонентов в меню Library уже нет. И компиляция тоже выдает ошибку. Надо опять заходить в new component, открывать .tcl файлы и заново их создавать и добавлять. Что надо сделать, чтобы они сохранялись?

Первое - с SOPC Builder уже давно пора переходит на Qsys!

Второе - размещайте свои компоненты или в альтеровской папке ..\ip, или в папке проекта. Тогда они будут найдены по умолчанию.

Если размещаете их в других местах, то в SOPC Builder (или в Qsys), в меню Tools - Options, укажите путь к ним - IP Search Path.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Первое - с SOPC Builder уже давно пора переходит на Qsys!

Второе - размещайте свои компоненты или в альтеровской папке ..\ip, или в папке проекта. Тогда они будут найдены по умолчанию.

Если размещаете их в других местах, то в SOPC Builder (или в Qsys), в меню Tools - Options, укажите путь к ним - IP Search Path.

 

не помогло к сожалению. Какая-то тут ошибка другая

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вообще-то, как оказалось, это широко известная в узких кругах проблема:

 

Qsys Editor (UI) Issues

 

Issue: Qsys doen't remember the user-entered IP search path (Tools -> Options ). Adding the user_components.ipx as described on page 5-13 (Quartus II Handbook Version 12.0) doesn't work either. This usually occurs when launching Qsys outside a Quartus II project. The path used (in 13.0 sp1) appears to be based on the path to the QSYS file that is currently open, and not on the user's path configuration. I also observe that paths in project specific xxx.ipx files are used when qsys-edit is first run, but they are later forgotten when QSYS opens a source file (13.0 sp1).

 

Workaround: add a <Path path=... /> entry into the main <$QUARTUS_INSTALLDIR>/sopc_builder/bin/root_components.ipx file. Fixed in Quartus II 12.1 (The problem is observed to remain in 13.0 sp1)

 

В свое время она мне тоже много крови попила и времени отъела. Подробности - на AlteraWiki.

 

Могу добавить, что это лишь зарегистрированная верхушка айсберга - на практике выявляется и много других проблем. Ну просто интерны когда-то эти программные компоненты написали, как-то все это немного проверили и пустили в оборот. Time-to-market, однако, что тут скажешь :) Поверяйте практикой любую теорию и не унывайте - эти Альтеровские тулы все же много для нас делают полезного, и в целом работают. Нужно только знать их слабые места.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подскажите пожалуйста. Можно ли к DMA Controller в Nios в качестве устройства для чтения данных подключить не память, а входной параллельный порт?

То есть, как я понимаю, dma.read_master подключить к pio. При компиляции ошибки нет, но верно ли это?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Можно, если преобразовать параллельный порт в Avalon-ST (SgDMA) или Avalon-MM (SgDMA, DMA) на Ваш выбор.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Можно, если преобразовать параллельный порт в Avalon-ST (SgDMA) или Avalon-MM (SgDMA, DMA) на Ваш выбор.

 

 

а то, что у него интерфейс s1 имеет формат Avalom Memory Mapped Slave и у dma read_master имеет Avalom Memory Mapped Master недостаточно? А как нужно и через что еще преобразовать?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...