Перейти к содержанию
    

"ChipScope Pro" и IP-cores

Здравствуйте! Пробую работать с IP-cores (Xilinx ISE 7.1i SP3, ChipScope Pro). Подскажите, пожалуйста, как мне правильно создать IP-core из проекта в режиме Schematic? Делаю по документации на ChipScopePro с применением Core Inserter. Создается .cdc-файл, ошибок не видно, но никакой символ при этом не создается, который можно было бы в другой проект в режиме Schematic вставить. Как правильно подключить IP-core в проект?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

двойной клик на CDC файл тебя спасет... а дальше расскажешь ему какой кор ты хочешь иметь

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

После создания .cdc-файла двойным щелчком по нему запускаю ChipScope Pro Core Inserter. Затем, вероятно, я что-то делаю неправильно. Поправьте меня на простом примере создания core для одного триггера (библиотечный элемент FD со входами D, C и выходом Q). Входы D и С подключены на схеме к цепям d_in и clk соответственно, выход Q - к цепи q-out. К цепям подключены маркеры входов и выхода.

На вкладке Trigger Parameters задаю:

number of input trigger ports:1; trigger width:1; #math units:1; match type: basic;

counter width:disabled; отключаю "Enable trigger sequencer".

На вкладке Capture Parameters установлено:

data depth:256; sample on: rising; включены опции "Data same as trigger" и "Include trig0 port (width=1). В следующем окне "Modify connections"

в списке цепей присутствуют буферы d_in_BUF, clk_BUFGP, q_out_OBUF.

Т.к. была задана trigger width:1, то на вкладке "Trigger/Data Signals" присутствует только один канал CH:0, на который подключаю буфер d_in_IBUF. На вкладке "Clock Signals" на единственный канал CH:0 подключаю буфер clk_BUFGP. Затем возвращаюсь из Core Inserter в Schematic. В списке файлов в ISE выделяю .sch-файл, а в списке процессов двойным щелчком запускаю "Create Schematic Symbol". Создал символ. Теперь, если я пытаюсь работать с этим символом в этом же проекте, появляются такие ошибки: "Circular hierarchy reference found. Breaking cycle at module 'имя_модуля' ", и такая - "Entyty 'имя_объекта' is exactly the same as instance (same port and generics)"

В чем мои ошибки? Если я буду создавать core не триггера, а обычной логики, например, аналог элемента and2, то какую задавать "trigger width" и что использовать в качестве "Clock signals" (в Core Inserter)?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ваша ошибка в том что вы создаете схематик символ, у вас уже есть подключенный СДС файл вам его остается только имплементировать его, запрограммировать и смотреть что происходит на тех контактах которые вы запрограммировали, да если не будет ничего отрисровывать возможно придется поменять старт ап клок на JTAG

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А по поводу настроек в Core Inserter, правильно ли я для FD установки сделал?

Как мне правильно имплементировать созданный core в другой проект?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

С налета вроде правильно...

Вообще-то это ядро логического анализатора и есть ли смысл его переносить в другой проект не знаю, но если очень хочется то можно...

В директории должны были появиться вхдл файлы типа Ila.vhd и еще один контроллера не помню как называется по-моему icon.vhd.

Вот их то и можно вставить в любой проект

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если я правильно понимаю, IP-cores можно создавать как средство анализа, так и в качестве отдельного функционального модуля с защищенным от чтения исходным кодом (для использования такого IP-core в других проектах)? Или защитить исходник можно как-то иначе?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

С помощью какого софта можно синтезировать свои собственные IP-cores, которые можно было бы применять в других проектах?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

"Если я правильно понимаю, IP-cores можно создавать как средство анализа, так и в качестве отдельного функционального модуля с защищенным от чтения исходным кодом (для использования такого IP-core в других проектах)? Или защитить исходник можно как-то иначе?" - понимаете правильно

"С помощью какого софта можно синтезировать свои собственные IP-cores, которые можно было бы применять в других проектах? " - С помощью любого синтезатора

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ChipScope Pro от Xilinx позволяет создавать IP-cores только для анализа проекта? Какими средствами от Xilinx или Mentor Graphics можно создавать IP-cores для применения в других проектах?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Какими средствами от Xilinx или Mentor Graphics можно создавать IP-cores для применения в других проектах?

Вам же сказали: любым синтезатором. У Xilinx это xst.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...