Перейти к содержанию
    

Методика применения I/O_Designer

Коллеги, прокомментируйте, где у меня ошибка:

мне необходимо также часть банков повесить на напряжение 2,5 а часть на 3,3. Я создаю два сигнала: 2V5 и 3V3.

.............

.............

Итак, вопрос: что делать? Можно, наверное, добавить эти сигналы на функциональный символ, но хочется, чтобы все неявно было задано.

IOD, зараза, как только меняешь функциональный символ, ломает все твои символы и приходится заново их формировать. Кстати, когда я посадил неиспользуемые клоковые входы на землю, у меня IOD нагенерил кучу символов с этими входами... все пришлось вручную удалять. Короче, каждая итерация, внесение изменений обходятся большим количеством ручной работы. Спрашивается: на кой хрен такая автоматизация, когда она чревата побочным ручным трудом? В сумме убил на IOD недели две чистого рабочего времени, и до сих пор все гладко не получается :(

внимательно прочитай ответ fill-a от Oct 19 2009, 14:29

Сдается мне, что надежней и БЫСТРЕЕ было бы сделать символы в IOD, экспортировать их в ЦБ, и работать без IOD. Таким образом, пока ментор сделал лишь более-менее приличную рисовалку символов ПЛИС. Все остальное по сути в стадии альфа-тестирования.

не согласен, удобней без ЦБ (тем более ЦБ не захламляется) и работать только с одним функциональным символом. Работает на ура (в случае индивидуальной запитки каждого банка не скажу, не было такой задачи)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

внимательно прочитай ответ fill-a от Oct 19 2009, 14:29

 

не согласен, удобней без ЦБ (тем более ЦБ не захламляется) и работать только с одним функциональным символом. Работает на ура (в случае индивидуальной запитки каждого банка не скажу, не было такой задачи)

 

уважаемый, не надо считать других невнимательными, дурачками и т.п., даже не вникнув в проблематику. На простом демо-проекте у меня тоже все работает на ура, в полном соответствии с тем, что написал fill от Oct 19 2009, 15:29. А вот когда ты сделаешь полностью рабочий проект на ПЛИС уровня VIRTEX-6, с близкой к 100% заполняемостью IO, с 50-ю Reusable blocks, вот тогда и расскажешь, как работает "на ура". К твоему сведению, я почти полностью изучил английское руководство по IOD, обнаружил там кучу ошибок. Поэтому могу ответственно говорить, что есть проблемы. Возможно, я что-то не понимаю, но не на таком уровне, на какой ты указываеь.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Господа, ответьте мне на простой вопрос, ибо я не смог (пытался, честно!):

как сделать так, чтобы IOD передал в DxD ФУНКЦ. символы вместе с ПСБ. Ибо не передаются! Несмотря на то, что в IOD'e выбирают Export->Schematics and symbols for all components. ПСБ-символы с обвязкой нормально передаются.

Или, м.б., можно тада сгенерить в самом DxD блок-символ из схемы, типа как в DC/DV?

 

Спасибо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Или, м.б., можно тада сгенерить в самом DxD блок-символ из схемы, типа как в DC/DV?

В визарде, когда генерите символ, поставить соотв. галки, чтобы генерились функ. символ и пцб символ, и чтобы только используемые пины. Все остальное сделается само. Ну еще возможно перед этим убить все символы в иоде, которые были.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В визарде, когда генерите символ, поставить соотв. галки, чтобы генерились функ. символ и пцб символ, и чтобы только используемые пины. Все остальное сделается само. Ну еще возможно перед этим убить все символы в иоде, которые были.

Все сделал. Убил символы в ИОДе. Поставил галки, заново сгенерил. Синхронизировал. Вот че получилось.

 

В DxD только один символ - ПСБ. Функционального нет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В DxD только один символ - ПСБ. Функционального нет.

Т.е. хотите сказать, что в IOD есть функциональный символ, его можно посмотреть-поредактировать, а в DxD нет? Чудеса какие-то... Уж не целостность ли какой БД порушилась...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не, я ничего не забывал, я уже так намучился, что порядок закрытия-открытия выучил как "отче наш". Но тем не менее ситуация изменилась: я поставил Update2. После этого напряжения назначились и передались в плату. Однако есть одна маленькая и одна большая неприятность. Маленькая: когда стал делать Update символам, то мастер зачем-то запихнул VCCO пины в PCB символы, хотя когда я их создавал, была выбрана опция не добавлять пины питания. Ну ладно, я их вручную выкинул - все прокатило. А вот большая.

Мне надо было назначить GND на неиспользуемые пины Clock. Еще до установки апдейта2, я сделал Tools-Type compatibility-GND=CLOCK. После чего перетащил сигнал GND на нужные пины клока, удерживая Ctrl+Shift. Все получилось. Однако, после установки апдейта, IOD мне сообщил:

# Assignment for signal GND is not valid.

# Invalid pins will be unassigned.

И, как обещал, нахрен удалил мои назначения. И повторить фокус не удается. Вываливается сообщение: Cannot swap pins with NOSWAP group. Попытка поиграться с назначением группы свопирования удачи также не принесла. Сижу, подсчитываю потерянное время, и тихо матерю менторовцев...

{чуть позже: видимо, это был рудимент первого апдейта. Удалил сигнал GND и создал заново. Группа свопирования осталась неназначенная, а раньше было NOSWAP. После чего удалось успешно назначить GND на нужные пины}

{{Еще чуть позже: однако, пока не добавил этот GND сигнал на функциональный символ, в плату передавался на пины клока сигнал GND_66. После добавления - ОК}}

Кстати, вот еще один глюк: если на VCCO пины не назначать явно сигнал, но в настройках на вкладке Symbol Generation задать VCCO=2V5, то ни хрена не назначается, на плате эти пины идут с именем VCC, хотя оно у меня НИГДЕ не фигурирует. Но да ладно, этот глюк хотя бы обойти можно. Правда, не проверял актуальность глюка на втором апдейте.

И еще один глюк, на закуску: когда довел число IO до 200 (почти все доступные IO задействованы, включая VREF), причем все они сидят на одном SWAP GROUP, перестала работать функция Unravel. Долго думает и ничего не меняет, ни на что не ругается.

Когда же наконец софтомейкеры начнут тестировать свои творения на реальных проектах, а не рафинированных?!

 

Чтобы сделать какие-то выводы надо проверить все это на вашем примере ибо не факт, что то что написано в документации и соответствующим образом работает, вами интерперетируется правильно - пример уже к сожалению был http://megratec.ru/forum/1/?theme=3506 :cheers: . Присылайте пример и я им займусь, но только через неделю, т.к у меня командировка.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Чтобы сделать какие-то выводы надо проверить все это на вашем примере ибо не факт, что то что написано в документации и соответствующим образом работает, вами интерперетируется правильно - пример уже к сожалению был http://megratec.ru/forum/1/?theme=3506 :cheers: . Присылайте пример и я им займусь, но только через неделю, т.к у меня командировка.

 

Спасибо. Пришлю, хотя на кривой козе я практически доделал проект. А вот тогда я не читал руководство - казалось все очевидно. Как еще можно трактовать "Enabled=false или true"? К слову, Ментор пишет очень не интуитивный софт, на одной догадке хрен чего сделаешь.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

на одной догадке хрен чего сделаешь.

Факт. Правда и ясно зачем... Чтобы народ на курсы ходил, денюжку платил. Чтобы техподдержке было что делать, а то ведь разомлеют. :laughing:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну не пакостники ли они? Чем CPLD хуже FPGA? Почему нету серии ispMACH 4000ZE? Да и вообще никакой ispMACH 4000...

В связи с чем вопрос - есть ли где "how to add new device" ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну не пакостники ли они? Чем CPLD хуже FPGA? Почему нету серии ispMACH 4000ZE? Да и вообще никакой ispMACH 4000...

В связи с чем вопрос - есть ли где "how to add new device" ?

6607.pdf

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

О, большое спасибо. Как сделаю, выложу готовые файлы, вдруг кому пригодится

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте, уважаемые!

Меня IOD интересует как проектировщика ПЛИС, а именно в части его совместной работы с HDL Designer.

Если кто имел опыт работы такой связки, пусть подскажет:

1. Есть ли вообще смысл (по опыту работы) использовать этот пакет ТОЛЬКО для присвоения пинов ПЛИС (без какой-либо последующей передачи на разводку печатной платы), чтобы вендорные пакеты использовались только для "упаковки в кристалл" и программирования?

2. Насколько (опять же, по опыту реальных работ) эта связка может быть "глючной"? (Не может ли оказаться, что меньше проблемм при обычном пути - задании пинов в вендорных пакетах, как например Альтеровский PinPlanner?).

3. Если у меня установлен HDS 2005, какой версии должен быть IOD, чтобы связка корректно работала и лицензфайл подходил под оба пакета? (Сейчас на одном лиценза сидят Precision2006, ModelSim 6.2F и HDS 2005).

 

Буду благодарен за любую информацию.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кто-нибудь сталкивался с проблемой "подвисания" IOD при экспорте (All Shematic and Symbols fo All Components) в DxD? У меня почему-то на одном проекте при экспорте IOD стал подвисать на 4-5 минут. При этом процессорное ядро загружено на 100% и расход памяти равен примерно 100-150 МБ. Причём проблема именно в проекте, т.к. другие проекты экспортируются нормально (экспорт занимает не более 15-30 секунд). Это какая-то проблема с проектом или так и должно быть для больших проектов? И если что-то случилось с проектом, то, как это вылечить?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Попытался подключить выводы микросхемы XC5VSX95T типа MGTRX к PCB сигналу типа GND (впрочем пробовал и другие) через механизм assign_special - результат:

cannot assign signal 'GNDD' to 'J1' - Type of signal GNDD is not compatible with type of pin(s): [J1].

Этот механизм вообще работает для всяких MGT... выводов ?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...