Mr. Smith 0 27 июля, 2005 Опубликовано 27 июля, 2005 · Жалоба Подскажите плиз как написать на VHDL генератор кода Грея произвольной разрядности (надо для тестбенча) просто чтобы по заданной тактовой частоте по кругу гонялись все его сосотяния. Или подскажите конкретное место где про это можно прочитать. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
id_gene 0 27 июля, 2005 Опубликовано 27 июля, 2005 · Жалоба конвертация из бинарного в Грэй выглядит как gray_sig <= (bin_sig >> 1) ^ bin_sig; здесь >> - это сдвиг влево с добавлением нуля ^ - XOR по кругу можете двоичный сигнал суммировать Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
CaPpuCcino 0 27 июля, 2005 Опубликовано 27 июля, 2005 · Жалоба Подскажите плиз как написать на VHDL генератор кода Грея произвольной разрядности (надо для тестбенча) просто чтобы по заданной тактовой частоте по кругу гонялись все его сосотяния. Или подскажите конкретное место где про это можно прочитать. <{POST_SNAPBACK}> автоматизированными поисковыми системами принципиально не пользуемся? http://rain.ifmo.ru/cat/view.php/vis/coding/gray-2003 http://aforge.ibd.lv/?32 VHDL: http://www.isibrno.cz/~ivovi/gray_counter.pdf Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Mr. Smith 0 27 июля, 2005 Опубликовано 27 июля, 2005 · Жалоба автоматизированными поисковыми системами принципиально не пользуемся? http://rain.ifmo.ru/cat/view.php/vis/coding/gray-2003 http://aforge.ibd.lv/?32 VHDL: http://www.isibrno.cz/~ivovi/gray_counter.pdf <{POST_SNAPBACK}> Что такое код Грея я представляю. Основная трудность именно в написании на VHDL т.к. недавно начал осваивать. Еслиб кто-нибудь кинул ссылку на пример на VHDL'е был бы очень признателен. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
CaPpuCcino 0 27 июля, 2005 Опубликовано 27 июля, 2005 · Жалоба VHDL: http://www.isibrno.cz/~ivovi/gray_counter.pdf переходите на Верилог - будет меньше проблем B) Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
des00 25 28 июля, 2005 Опубликовано 28 июля, 2005 · Жалоба VHDL: http://www.isibrno.cz/~ivovi/gray_counter.pdf переходите на Верилог - будет меньше проблем B) <{POST_SNAPBACK}> Не факт :))), С верилогом иногда можно больше мучаться Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
AsJohnAs 0 15 сентября, 2005 Опубликовано 15 сентября, 2005 · Жалоба Ну для того чтоб сконвертировать бинарный код в код грея можно воспользоваться простейшими коре - например так: library IEEE; use IEEE.std_logic_1164.all; entity conv is port ( I : in std_logic_vector (3 downto 0); EN : in std_logic; O : out std_logic_vector (3 downto 0) ); end entity; --}} End of automatically maintained section architecture conv_arch of conv is begin O(0) <= (I(0) xor I(1)) and EN; O(1) <= (I(1) xor I(2)) and EN; O(2) <= (I(2) xor I(3)) and EN; O(3) <= I(3) and EN; end architecture; Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться