Перейти к содержанию
    

Управление синтезом в VHDL

A Vi znaete kak viglyadit summator ? Esli vnimatel'no prismotret'sya, to mozhno zametit', chto eto on i est'. Prosto v dannom sluchae Vi vidite raskladku etogo summatora v kristalle s razbieniem na sostavnie chasti. Standartniy summator sostoit iz "XOR" i s'hemi propagirovaniya perenosa, sobrannoy na logicheskih "&". Sobstvenno eto tam i est', prosto nichego drugogo ne pridumali.

 

ХМм вот что интересно, у меня иногда при синтезе симплифай рисует в РЛ вьевере именно счетчик :) а не суматор с регистром, скорее всего тут зависит все от того как описанн счетчик (нужно поискать это дело). Ну или собирать на тригерах, подавая сигналы на clk_ena. с разряда на разряд :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

A Vi znaete kak viglyadit summator ? Esli vnimatel'no prismotret'sya, to mozhno zametit', chto eto on i est'. Prosto v dannom sluchae Vi vidite raskladku etogo summatora v kristalle s razbieniem na sostavnie chasti. Standartniy summator sostoit iz "XOR" i s'hemi propagirovaniya perenosa, sobrannoy na logicheskih "&". Sobstvenno eto tam i est', prosto nichego drugogo ne pridumali.

 

ХМм вот что интересно, у меня иногда при синтезе симплифай рисует в РЛ вьевере именно счетчик :) а не суматор с регистром, скорее всего тут зависит все от того как описанн счетчик (нужно поискать это дело). Ну или собирать на тригерах, подавая сигналы на clk_ena. с разряда на разряд :)

 

A vot tut i est' zakovika s ochen' prostim ob'yasneniem. Dlya kazhdogo elementa, kotoriy sintezator raspoznal on zadaet spetsificheskiy pattern razmescheniya v kristalle. Estestvenno, takaya trassirovka trebuet dopolnitel'nih resursov. V sluchae malen'kogo summatora pered schetchikom net neobhodimosti raspolagat' ego imenno kak summator po yacheykam, potomu kak eto ne privedet k real'nomu viigrishu v proizvoditel'nosti. Potomu malorazryadniy schetchik stanet prosto kak schetchik, bez razbivki na summator i registr. Mnogorazryadniy schetchik budet razbit na dva patterna imenno s tochki zreniya proizvoditel'nosti. No escho raz, summator budet v schetchike vsegda. Ya ekperimentiroval so schetchikami raznoy razryadnosti. Est' nekotoroe porogovoe chislo razryadov, posle kotorogo budet pattern schetchika i pattern registra, do etogo predela budet prosto blok, obzivaemiy schetchik.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...