Перейти к содержанию
    

Похоже ISE 11.1 скоро появится

но вот сформированный файл *.mcs не шьет плис из пзу (по jtag сейчас нет возможности попробовать), да и размеры *.bit и *.mcs стали немного меньше по сравнению с предыдущими версиями
По умолчанию включена компрессия?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Первые впечатления от пробы 11-ой версии неоднозначные: с одной стороны "костюмчик" поставился без проблем, старые проекты сконвертировались без ошибок, но вот сформированный файл *.mcs не шьет плис из пзу (по jtag сейчас нет возможности попробовать), да и размеры *.bit и *.mcs стали немного меньше по сравнению с предыдущими версиями, что-то это меня немного настораживает. По скорости разницы с 10-ой версией не заметил, но вот временные ограничения стал выполнять лучше и теперь пишет более высокие частоты. В интерфейсе произошли кое-какие косметические изменения, особой разницы не почувствовал. Шифрация корок на первый взгляд осталась прежней.

 

 

в списке отсутствуют :laughing:

 

Есть предположения почему не прошивается - "сформированный файл *.mcs не шьет плис из пзу (по jtag сейчас нет возможности попробовать), да и размеры *.bit и *.mcs стали немного меньше по сравнению с предыдущими версиями, что-то это меня немного настораживает"? Поддержка какого VHDL стандарта (новый кажется вышел в 2008 г) туда включили (просто любопытство)?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ISE 11.1 drops support for Virtex-2/Pro and Spartan-2/E
в списке отсутствуют

А как по поводу старшего брата Spartan-2/2E - Virtex/-E/-EM - их тоже благополучно выкинули ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

 

Из изменений - убрали Florplaner - теперь только PlanAhead

В интерфейсе уменьшили разнообразие команд ля каждого шага имплементации

Исправлен глюк с постоянным пересинтезом ChipScop корки при изменении исхоника.

 

Успехов Rob.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

По умолчанию включена компрессия?

никакой компрессии, все настройки полностью от старого проекта

 

А как по поводу старшего брата Spartan-2/2E - Virtex/-E/-EM - их тоже благополучно выкинули ?

тем более отсутствуют

 

Поддержка какого VHDL стандарта (новый кажется вышел в 2008 г) туда включили (просто любопытство)?

Какая поддержка 2008? Месяц назад вышел http://electronix.ru/forum/index.php?showtopic=60407

 

Заметил один глюк - в импакте не все меню раскрываются, вместо них - маленькие квадратики размером в несколько пикселей. Во всех остальных - такого глюка нет.

Просмотрел несколько документов в *.pdf - везде в тексте стоит дата "27 april 2009", открыл свойства документа - дата 09.04.2009. Ясновидцы!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

 

А вот похоже и глюк

стандартны путь Clk->DCM->GlobalBuf->Reg->Out

 

TI_AECLKOUT1->DCM->gEclk->Reg->Out

 

задан constarin TIMEGRP "Pads_EMIFA_dat" OFFSET = OUT 8 ns AFTER "TI_AECLKOUT1";

в 9.2 проблем нет, а тут смотрю ERORR!!! (см ниже) откуда ???

 

Оказалось что время прихода CLK

считается дважды - Певый раз как clock path, а второй как clock arrival!!!

С какой стати время прихода внешнего TI_AECLKOUT1 считается как время внутреннего gEclk.

 

Slack: -0.216ns (requirement - (clock arrival + clock path + data path + uncertainty))

Source: U41/U3/Q[22] (FF)

Destination: TI_AED[54] (PAD)

Source Clock: gEclk rising at 1.502ns <<------------!!!!!!!!!!!!!!!!!!!!!!!!!!!

Requirement: 8.000ns

Data Path Delay: 4.114ns (Levels of Logic = 1) <<- задержка от регистра до выхода

Clock Path Delay: 2.420ns (Levels of Logic = 3) <<- общая задержка от TI_AECLKOUT1 до Clk регистра

Clock Uncertainty: 0.180ns

 

Clock Uncertainty: 0.180ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE

Total System Jitter (TSJ): 0.000ns

Total Input Jitter (TIJ): 0.000ns

Discrete Jitter (DJ): 0.120ns

Phase Error (PE): 0.120ns

 

Удачи! Rob.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

никакой компрессии, все настройки полностью от старого проекта
Ну и что? Могли смениться дефолтовые значения настроек, не прописанных в проекте.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Перешли на FlexLM лицензию, пока без длинных сигнов, но в строку VENDOR_STRING какой-то код вставили!

 

Судя по всему, этот код никакой роли не играет. Без него тоже все прекрасно работает, если конечно перегенерировать лицензию. ;)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

обещали сделать partial reconfiguration для спартанов - есть такое?

(да и для виртексов тоже интересно - в 10 версии оно тоже куда-то исчезло из "легального" флоу)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

 

 

Судя по всему, этот код никакой роли не играет. Без него тоже все прекрасно работает, если конечно перегенерировать лицензию. ;)

 

 

А есть уже чем ?

 

Удачи! Rob.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Судя по всему, этот код никакой роли не играет. Без него тоже все прекрасно работает, если конечно перегенерировать лицензию. ;)

 

Подскажите плз, а перегенерить лицензию с помощью тулзы генерящей лицензии для ip core или другой ? Пробовал генерящей лицензии для ip -

 

- ISE их глотает , но видит как феатуры для IP - в результате у меня не проходит имплементация для VIRTEX5. Ставил лицензию генереную на сайте XILINX - все проходит. Но так стремно жить - вдруг через месяц пошлют... Подскажите плз. тулзу.

И еще -- не работает декомпресор криптованных файлов - сменили гады сигнатуры, кто колупал енто ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А есть уже чем ?

 

Есть. :)

 

Подскажите плз, а перегенерить лицензию с помощью тулзы генерящей лицензии для ip core или другой ? Пробовал генерящей лицензии для ip -

 

Нет, с помощью этой - лицензии для IP. Для самой ISE и родичей - другая.

 

- ISE их глотает , но видит как феатуры для IP - в результате у меня не проходит имплементация для VIRTEX5. Ставил лицензию генереную на сайте XILINX - все проходит. Но так стремно жить - вдруг через месяц пошлют... Подскажите плз. тулзу.

 

Чуть позже выложу.

 

И еще -- не работает декомпресор криптованных файлов - сменили гады сигнатуры, кто колупал енто ?

 

Да, формат немного изменился. Но не думаю, что это принципиальная проблема. ;)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Публикация лекарств, программ и т.п. в открытых разделах форума запрещена. Сообщения были удалены.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Переконвертил проект из сделанного в прежней версии - теперь синтезатор выдаёт ошибку:

 

FATAL_ERROR:Xst:xstrtlviewer.c:2417:1.85 - Unsupported macro type (LPM_LATCH_) in FillNodePropFromMacroBas Process will terminate. For technical support on this issue, please open a WebCase with this project attached at http://www.xilinx.com/support.

 

Сделал новый проект, добавил прежние *.vhd и *.ucf - ошибка та же. Пока не придумал, как решить. Может, у кого-то такое же было? Есть мысли, как исправить?

Изменено пользователем Juzujka

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...