yes 5 3 февраля, 2009 Опубликовано 3 февраля, 2009 · Жалоба прогнал тест для 64х разрядной квесты большой тест, который использовал для сравнения VCS vs NCSIM запускать не рискнул, тест меньше (короче по времени) не претендую на то, что параметры выставил наилучшим образом, и для квесты не уверен, что sdf скомпилился (кстати вопрос к тем, кто утверждает, что документация квесты очень хорошая и понятная - как запустить с скомпилированным sdf?): в доке For both optimization flows (Two-Step Flow and Three-Step Flow) they will both automatically perform SDF compilation using sdfcom if any of the following apply: $sdf_annotate system task exists in the testbench. ... то есть всегда компилится и приннудительная компиляция через sdfcom не нужна (но в top я не видел sdfcom, может проглядел) Compiled SDF files may be specified on the vsim line with the -sdfmin, ‑sdftyp, and -sdfmax arguments. Alternatively, they may be specified as the filename in a $sdf_annotate() system task in the Verilog source. но скомпилив sdfcom-ом и указав в $sdf_annotate - получил ошибку при анотации --------- вобщем In situations where the same SDF file is used for multiple simulation runs, the elaboration time will be reduced significantly. Depending on the design, time savings of 25% to 60% may be realized. так как скомпиленный сдф влияет только на элаборацию, которая пренебрежима мала с общим временем, то это и не важно ============================================= результаты questa 6.4c (64bit) ============================================= #IP model compilation vlog -O4 +access=r ./mem_blocks/*.v vlog -O4 +access=r +define+NTC +define+RECREM /home/TSMC/tpdn90lpnv3.v vlog -O4 +access=r +define+NTC +define+RECREM /home/TSMC/pgn90lp33smf1000_200a.v vlog -O4 +access=r +define+NTC +define+RECREM /home/TSMC/tpan90lpnv3.v vlog -O4 +access=r +define+NTC +define+RECREM /home/TSMC/tcbn90lphp.v vlog -O4 +access=r +define+NTC +define+RECREM /home/TSMC/P2_tpdn90lpnv3.v vlog -O4 +access=r +define+NTC +define+RECREM /home/TSMC/SD_tpdn90lpnv3.v #netlist compilation vlog -O4 +access=r top_full.vg #testbench compilation vlog -O4 +access=r test_pattern.drv.vg +define+SDF_ANNOTATE +define+DUMP_VCD vsim -c -vopt -v2k_int_delays work.test_pattern__top --------- real 263m1.386s user 258m56.105s sys 0m31.385s --------- памяти отожрало 8Гб ============================================== ncsim 5.81 ncvlog -64bit -view gate -work work -messages -update ./mem_blocks/*.v ncvlog -64bit -define NTC -define RECREM -work tsmc /home/TSMC/tpdn90lpnv3.v ncvlog -64bit -define NTC -define RECREM -work tsmc /home/TSMC/pgn90lp33smf1000_200a.v ncvlog -64bit -define NTC -define RECREM -work tsmc /home/TSMC/tpan90lpnv3.v ncvlog -64bit -define NTC -define RECREM -work tsmc /home/TSMC/tcbn90lphp.v ncvlog -64bit -define NTC -define RECREM -work tsmc /home/TSMC/P2_tpdn90lpnv3.v ncvlog -64bit -define NTC -define RECREM -work tsmc /home/TSMC/SD_tpdn90lpnv3.v #netlist compilation ncvlog -64bit -work work top_full.vg #testbench compilation ncvlog -64bit -work work test_pattern.drv.vg -define SDF_ANNOTATE -define DUMP_VCD ncelab -64bit -work work -cdslib ./cds.lib -status -messages -timescale "1ns/1ps" -access +rwc -SDF_SIMTIME -SDF_VERBOSE work.test_pattern__top ncsim -64bit -cdslib ./cds.lib -status work.test_pattern__top -------- ncsim: Memory Usage - 16.0M program + 4242.5M data = 4258.6M total ncsim: CPU Usage - 7.6s system + 1483.8s user = 1491.4s total (1493.5s, 99.9% cpu) -------- real 34m40.743s user 33m13.344s sys 0m26.829s по top-у отжирало до 4.8 Гб ========================================= vcs vcs -full64 -hsopt +rad +define+SDF_ANNOTATE +define+DUMP_VCD +define+NTC +define+RECREM -l vcs_compile.log ./mem_blocks/*.v /home/TSMC/tpdn90lpnv3.v /home/TSMC/pgn90lp33smf1000_200a.v /home/TSMC/tpan90lpnv3.v /home/TSMC/tcbn90lphp.v /home/TSMC/P2_tpdn90lpnv3.v /home/TSMC/SD_tpdn90lpnv3.v top_full.vg test_pattern.drv.vg ./simv -l vcs_sim.log --------- V C S S i m u l a t i o n R e p o r t Time: 1078820000000 fs CPU Time: 720.560 seconds; Data structure size: 866.1Mb Tue Feb 3 15:59:39 2009 real 12m5.349s user 12m0.566s sys 0m2.703s по top-у отжирало до 2.2 Гб ================================================================================ то есть ничего удивительного не вскрылось... чем хуже организованны данные (занимают больше места), тем медленнее их обработка Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Gate 0 3 февраля, 2009 Опубликовано 3 февраля, 2009 · Жалоба Спасибо! Неслабое различие - vcs быстрее квесты более чем в 20 раз. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Evil_Archer 0 4 февраля, 2009 Опубликовано 4 февраля, 2009 · Жалоба Присоединяюсь. Спасибо за тестирование! Очень ценная информация. Вобщем печально конечно с квестой все. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
SM 0 4 февраля, 2009 Опубликовано 4 февраля, 2009 · Жалоба Вобщем печально конечно с квестой все. Да не так уж и печально. Назначение софта разное. Сильно сомневаюсь, чтобы кто-то покупал VCS, чтобы симулировать ПЛИСины, и точно так же - чтобы кто-то покупал квесту с целью тестирования нетлиста зверя вроде пентиума-ксеона :) Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Evil_Archer 0 4 февраля, 2009 Опубликовано 4 февраля, 2009 · Жалоба Да не так уж и печально. Назначение софта разное. Сильно сомневаюсь, чтобы кто-то покупал VCS, чтобы симулировать ПЛИСины, и точно так же - чтобы кто-то покупал квесту с целью тестирования нетлиста зверя вроде пентиума-ксеона :) В целом, соглашусь, но ПЛИС в последнее время пожирненли) Думаю, что данная тенденция продолжится) Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
yes 5 6 февраля, 2009 Опубликовано 6 февраля, 2009 · Жалоба В целом, соглашусь, но ПЛИС в последнее время пожирненли) Думаю, что данная тенденция продолжится) ксайлинс поддерживает под линухом NCSIM (официально - есть в менюшках) и VCS (мне не нужно, но в answer database натыкался на ответы по использованию) Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться