Перейти к содержанию
    

Нижний предел входной частоты PLL

а вот на сдвиг фаз точно наверняка можно забить, например умножаем 4 на 8 имеем 32 и уже с ней делаем что хотим точность фазирования составит +-61 нс

 

Там еще сигнал кадровой частоты присутствует привязанный к битовой частоте, поэтому необходимо фазирование. Можно конечно чего то наворотить-набуферить, но мне кажется синхронно работающая система будет прозрачней для отладки и надежней в работе.

 

ICS570 как раз равняет фазы: входного, выходного и выходного/2 сигналов. Плюс к этому улучшенные параметры по джиттеру.

 

Иными словами : вам нужна жесткая синхронизация входного и выходного потоков или допустимо их сделать асинхронными?

 

Именно жесткая.

Изменено пользователем DeC_NN

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Там еще сигнал кадровой частоты присутствует привязанный к битовой частоте, поэтому необходимо фазирование. Можно конечно чего то наворотить-набуферить, но мне кажется синхронно работающая система будет прозрачней для отладки и надежней в работе.

ICS570 как раз равняет фазы: входного, выходного и выходного/2 сигналов. Плюс к этому улучшенные параметры по джиттеру.

 

Буферить ничего не нужно, у Вас частота будет в 2 или 4 раза выше требуемой, все синхронно, сигнал кадровой частоты будет привязан к выходной частоте, фазировка будет обеспечена, можно дополнительно еще в Cyclon умножение сделать скажем до 64МГц, чтобы повысить точность фазирования, автомат фазирования элементарно делается - просто надо сбросить(установить) счетчик по фронту частоты 4МГц. Но это так просто предложение (делал сам аналогичные вещи потому и могу подсказать, как меньшими слезами открутится, а 512-ю использую давно, дюже она нравится, попробуй PLL на россыпи за 100р сделать :)))) ), а там на вкус и цвет как говорится, просто ICS570 очень экзотический фрукт и потому дорогой. Успехов!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

... Успехов!

 

Спасибо.

Думаю пока остановлюсь на варианте с 570 :), но может и еще чего придумаю.

А вообще вот картинка. Зеленым то что мне приходит, красным то что надо сгенерить. Клок сделаю внешней штуковиной(ICS570), а фрейм уже в ПЛИС на D-триггере с установкой по зеленому фрейму и сбросом инвертированным зеленым клоком.

post-18791-1233138599_thumb.jpg

Изменено пользователем DeC_NN

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У ксайлинкса встречалась схема удвоения частоты в ПЛИС на одном триггере - получите 8 МГц внутри ПЛИС. Может этого хватит?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А вообще вот картинка. Зеленым то что мне приходит, красным то что надо сгенерить. Клок сделаю внешней штуковиной(ICS570), а фрейм уже в ПЛИС на D-триггере с установкой по зеленому фрейму и сбросом инвертированным зеленым клоком.

Нет проблем сделать это в самой ПЛИСине с асинхронными цепями. Конечно, сейчас меня запинают, что асинхронные вещи - это прошлый век, но в данном случае это всего несколько асинхронных путей, которые можно легко законстрейнить. Вопрос в том, какую точность по времени необходимо обеспечить. Если необходима только синхронность работы выходов между собой, то это можно обеспечить.

IMHO, не самый "технологичный", но надежный и 100% - самый дешевый вариант.

Там и так асинхронные вещи уже есть - установка и сброс триггера по разным фронтам.

Изменено пользователем murmel1

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

... законстрейнить...

 

Извиняюсь за глупый вопрос :)

А что это слово означает? Во многих топиках читаю, а понять не могу.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Извиняюсь за глупый вопрос :)

А что это слово означает? Во многих топиках читаю, а понять не могу.

Наложить требования=ограничения (временные, например) на интересующие цепи, которые будут учтены при разводке в кристале, т.е. задать соответствующие Constraint в среде имплементации.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Наложить требования=ограничения (временные, например) на интересующие цепи, которые будут учтены при разводке в кристале, т.е. задать соответствующие Constraint в среде имплементации.

 

Понятно. До этого я еще не добрался.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Циклоновский PLL работают мин от 10 мегов. Надо ставить генератор 16 мегов и получать нужные частоты. Иначе никак.:) Умножитель можно сгородить, но это не так просто.Так что берешь 16, делишь и получаешь все что требуется.

 

Я только начинаю работать с циклонами и тем более PLL поэтому видимо не все понимаю.

У меня задача такая: есть внешние 4 МГц, чистый меандр со скважностью 50%. Нужно в ПЛИС получить из этой частоты другую, а именно 16 МГц , тоже меандр, тоже скважность 50%, совпадающую по фазе с исходной.

У циклоновской PLL нашел 2 входа частот inclk0 и inclk1. Ни один из входов не работает при частоте ниже 10МГц. Вот и придется видимо получать эти 16 МГц снаружи ПЛИС.

Циклоновский PLL работают мин от 10 мегов. Надо ставить генератор 16 мегов и получать нужные частоты. Иначе никак.:) Умножитель можно сгородить, но это не так просто.Так что берешь 16, делишь и получаешь все что требуется.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...