Перейти к содержанию
    

Нижний предел входной частоты PLL

Использую PLL в CycloneII. При задании на входе inclk сигнала выше 10 МГц все хорошо, при задании сигнала с более низкой частотой (например 4 МГц как в моем случае) ругается что превышен диапазон VCO. В даташите на циклоновский pll не нашел никаких предельных параметров и поэтому возник вопрос - действительно ли нельзя подавать на вход частоту ниже 10 Мгц?

Получается нужно делать внешний синтезатор для получения более высокой частоты и потом заводить ее в ПЛИС?

Изменено пользователем DeC_NN

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Использую PLL в CycloneII. При задании на входе inclk сигнала выше 10 МГц все хорошо, при задании сигнала с более низкой частотой (например 4 МГц как в моем случае) ругается что превышен диапазон VCO. В даташите на циклоновский pll не нашел никаких предельных параметров и поэтому возник вопрос - действительно ли нельзя подавать на вход частоту ниже 10 Мгц?

Получается нужно делать внешний синтезатор для получения более высокой частоты и потом заводить ее в ПЛИС?

cyc2_cii5v1.pdf, Table 5–53. PLL Specifications (Part 1 of 2): fIN 10 MHz

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

cyc2_cii5v1.pdf, Table 5–53. PLL Specifications (Part 1 of 2): fIN 10 MHz

 

Вот как. У меня документ cyc2_cii51007.pdf. Там только раздел 7 - про PLL, без параметров.

Грустно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кстати, про PLL, у Cyclone/CycloneII производитель не гарантировал работу PLL при температурах ниже -20, если на входе частота ниже определенной. Имеется в виду индустриальное исполнение, конечно же. У CycloneIII не нашёл такой оговорки. Кто-нибудь проверял - работает на -40 градусах и входной частоте порядка 18-33МГц?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Поставили снаружи генератор на 33 МГц, это камешек 2*3 мм.

 

Вы имеете ввиду генератор управляемый напряжением, т.е. сделать свою PLL?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вы имеете ввиду генератор управляемый напряжением, т.е. сделать свою PLL?

Имелось в виду кварцевый генератор с фиксированной частотой, напр 33 МГц. Очень прост: земля, питание, и выход из которого идет эта частота, подаете ее на ваш Циклон и вуаля !

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Имелось в виду кварцевый генератор с фиксированной частотой, напр 33 МГц. Очень прост: земля, питание, и выход из которого идет эта частота, подаете ее на ваш Циклон и вуаля !

 

Ну что такое генератор я в курсе :biggrin:

Не могу понять каким образом он мне поможет. Подскажите.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Получается нужно делать внешний синтезатор для получения более высокой частоты и потом заводить ее в ПЛИС?

Вариантов несколько, можно сделать умножитель на 2 в циклоне вывести наружу и завести обратно на глобальную ножку, главное чтобы фронты не дрожали передние у умноженного сигнала, задние циклону по-барабану, это легко делается, таким образом можно работать с сигналами от 5МГц, делал не раз прекрасно работает. Если надо частоту ниже, то уже сложнее, либо прийдется делать умножающий DPLL внутри и потом уже давить джиттер циклоновским PLL, что не есть гуд, но работает и иногда приемлемо. Но проще всего использовать внешний синтезатор, например ICS512 или подобный, или с более низким собственным джиттером ICS523 (все здесь http://www.idt.com/ ), быстро дешево сердито, у ICS512 частота среза около 5 Гц что достаточно не плохо. При более высоких требованиях приходится ставить VCO и решать проблему с помощью самодельного PLL, там есть много своих заморочек. Использовать циклон 3, у него частота ниже. Выбор за Вами.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

...

 

Спасибо за развернутый ответ. Указанные вами синтезаторы с первого взгляда очень не плохие. Сейчас посмотрю подробней.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну что такое генератор я в курсе :biggrin:

Не могу понять каким образом он мне поможет. Подскажите.

Он вам поможет тем, что создаст опорную частоту для внутренней PLL. А уже с помощью внутренней PLL вы сможете получить любую нужную вам частоту. Не понимаю, зачем ICS512 (фактически внешняя PLL), если есть внутренняя.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Он вам поможет тем, что создаст опорную частоту для внутренней PLL. А уже с помощью внутренней PLL вы сможете получить любую нужную вам частоту. Не понимаю, зачем ICS512 (фактически внешняя PLL), если есть внутренняя.

А что тут понимать, все зависит от поставленной задачи, какой необходимо получить джиттер на выходе системы, вот полосу среза циклоновского PLL не замерял, врать не буду, но "на глазок" гораздо хуже 30Гц, в некоторых случаях совершенно неприемлимо. А на счет ICS512 - его цена около 100р, что вполне сопоставимо с ценой дешевого (очень кривого т.к. сам PLL внутри содержит) генератора SG-8002JC, джиттер ПИКОВЫЙ (не RMS!!!) +-200ps, очень прилично при таком диапазоне входных частот, зависит от конкретной реализуемой частоты, попробуйте достичь подобных результатов в конфигурации SG-8002JC -> DPLL + Cyclone PLL очень сомневаюсь в успехе.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

DPLL не знаю что такое, а SG-8002 поданный прямо на PLL Cyclone II даст +-300 ps ppk даже на внешнем выводе (специализированном), а внутри FPGA соответственно меньше. Но это все не имеет значения, так как топик начинается со слов "использую PLL Cyclone II", то есть ее параметры устраивают. А cпрашивали как мне кажется не как получить минимальный джиттер, а как засинхронизировать PLL вообще.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А cпрашивали как мне кажется не как получить минимальный джиттер, а как засинхронизировать PLL вообще.

На что и получили развернутый ответ :) Принято обозначать DPLL == Digital Phase Locked Loop т.е. дискретная, то бишь, цифровая ФАПЧ, PLL == Phase Locked Loop аналоговая ФАПЧ, I(D)PLL Impulse(D)PLL импульсная ФАПЧ и т.д.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Он вам поможет тем, что создаст опорную частоту для внутренней PLL. А уже с помощью внутренней PLL вы сможете получить любую нужную вам частоту. Не понимаю, зачем ICS512 (фактически внешняя PLL), если есть внутренняя.

 

Я только начинаю работать с циклонами и тем более PLL поэтому видимо не все понимаю.

У меня задача такая: есть внешние 4 МГц, чистый меандр со скважностью 50%. Нужно в ПЛИС получить из этой частоты другую, а именно 16 МГц , тоже меандр, тоже скважность 50%, совпадающую по фазе с исходной.

У циклоновской PLL нашел 2 входа частот inclk0 и inclk1. Ни один из входов не работает при частоте ниже 10МГц. Вот и придется видимо получать эти 16 МГц снаружи ПЛИС.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...