Перейти к содержанию
    

VCS vs NCSIM, сравнение производительности

меня это тоже сильно интересовало.

 

http://electronix.ru/forum/index.php?showtopic=57954

 

есть плюсы у VCS (на мой взгляд гораздо больше документации и гораздо более внятная диагностика ошибок), но QUESTA (и скорее всего NC8.x, которого у меня сейчас нет, но доки есть) поддерживают более сложные конструкции - то есть ближе к стандарту

 

если пользовали QUESTA+OVM, то однозначно NC. ну и вообще, если есть какие-нибудь сложные классы с параметрами типов, массивы виртуальных интерфейов и т.п. то VCS может и не взять

 

Да, тему видел, спасибо большое. И про шаблонные классы вы правы, все это есть, массивы виртуальных интерфейсов тоже. Странно, почему-то я считал, что VCS должен лучше поддерживать SV, все-таки на основе VERA, а это разработка самого Синопсиса по-сути.... Ну чтож, будем искать актуальную версию NC на попробовать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сам не видел, но "слышал" про варианты, при которых приходилось переписывать код для VCS, для каденса все было нормально.

 

Слышал - рассказывал тот кто в этом участвовал, но по моему мнению, обычно результат аналогичен.

Есть вопрос, есть ли для VCS поддержка SPECMAN, точнее наоборот, может ли он его использовать?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

тяжело сказать - векторов тестера ~300000, но там PLL запускается на х10 частоте - то есть процессорных больше 1М, ну и еще есть асинхронный узел

BTW там возникала интересная задачка синхронизовать вывод с тестером

 

оба симулятора используют 1 проц, насколько я знаю - 1 год назад не было тула для параллельной симуляции

Вроде и сейчас ничего нет для параллельной симуляции. Просто получается крутой Xeon в основном простаивает. И посещают мысли - не будет ли новый Core i7 быстрее? Вообще, получается, сервер особо не нужен.

 

Кстати, как PLL моделировали? Я имею ввиду как описывали - он у вас в модели синхронизируется, перестраивается и т.д.?

 

А по скорости на нетлистах NC уделал таки XL ? Или нет?

XL вроде интерпретатор и, по старой памяти, катастрофически проигрывал NC. К тому же заброшен.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вроде и сейчас ничего нет для параллельной симуляции. Просто получается крутой Xeon в основном простаивает. И посещают мысли - не будет ли новый Core i7 быстрее? Вообще, получается, сервер особо не нужен.

 

Судя по тестам произодительности, единичное ядро не так чтобы намного быстрее C2D в большинстве приложений, так что это вряд ли. А сервер нужен, и очень даже. Сидеть и ждать пока один процесс пролопатит все что вы хотите проверить на одном ядре бессмысленно: запускайте несколько копий симулятора одновременно и пусть каждая проверяет что-то свое. Simulation farm, короче говоря. Если у вас современный тестбенч по методологии Constraint-Randomized Testing, то просто меняете инициализатор псевдослучайного генератора симулятора, задавая для каждой копии свой, и получаете по-сути несколько разных тестбенчей. У нас сейчас 8-ядерный сервак на зеонах как раз, загрузка 100%, круглосуточно лопатит. И дейсвтительно очень помогает - отладка ускорятся в разы. Хотя, наверное, не для всех систем такой тестбенч можно написать. Тем не менее, именно из-за этого был задан вопрос по поддержке симуялторами SV.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вообще, получается, сервер особо не нужен.

Ну запустить в параллель best, typical и worst :) :)

 

А так был ведь CycleDrive MT у frontline. Потом его купил Avant!, потом Avant-а купил Synopsys, а вот куда делся симулятор....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не где не могу услышать оценки 0-in и ее поддержки SV. Кто нибудь пробовал?

 

а вообще этот 0-in formal verification в каком-то виде существует? чего-то даже нагуглить ничего не удалось.

 

например, synopsys formality или cadence conformal - много сцылок, ну и можно эти тулзы при желании пощупать...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В comp.arch.fpga была дискуссия, кто лучше поддерживает SV.

"Synplicity/Synplify and Systemverilog support?" Содержательный тред.

 

Остановились на том, что лучший это все-таки Questa.

 

 

Насчет VCS: категорически не согласен с yes, что у VCS хорошая документация и внятные сообщения об ошибках. Зачастую по этим сообщениям даже близко не понятно, в чем дело. Все кто имел дело с VCS это признают, но синопсисовцы обещают исправиться. Документация тоже фиговая, сплошное словоблудие и компиляция, информация по одному вопросу может быть раскидана по трем главам, как будто писали разные люди, а даташит потом механически собрали из разных статей. Плюс нет простейшей вещи: pdf-ника со сводным описанием всех ключей. Ну не люблю я читать man-pages в терминальном окошке. В общем, по документации VCS однозначно проигрывает квесте.

 

Собственно по делу: VCS (MX) плохо поддерживает многоразмерные массивы. То что квеста съедает в нативной форме, вцс уже не переваривает.

Так же VCSMX фигово работает с VHDL и SystemC, а миксед-language проекты - это вообще атас. Большинство более-менее сложных SV пороектов, пушенных под квестой,

без переделки на VCS не запустятся.

 

Серьезно работать с VCS без постоянной поддержки Synopsys затруднительно. У них бизнес модель такая - каждому клиенту выделяется свой саппорт-инженер, он получает доступ к исходникам и дебажит все VCSные баги вместе с инженерами заказчика. А багов там до фига.

 

Ну и DVE ни в какое сравнение с менторовсим гуем не идет. Все что может DVE - это показывать ирархиию и ставить брейк-поинты. Даже едитора для исходников нет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В comp.arch.fpga была дискуссия, кто лучше поддерживает SV.

"Synplicity/Synplify and Systemverilog support?" Содержательный тред.

 

Остановились на том, что лучший это все-таки Questa.

 

....

 

вопрос : "шашечки или ехать"

 

то есть я еще раз повторю, что скорость исполнения кода значительно различается,

 

мы работает с NCSIM-ом, время исполнения теста может быть двое суток, тест отъедает до 8Гб памяти - то есть сервак с 32Гб можно пускать 2-3 теста одновременно. прогон 36 corner-ов (а не 3 как для >= 0.13) для дипсубмикронных процессов занимает месяц

а проекты меньше не становятся

 

если VCS работает вдвое быстрее и жрет в 4 раза меньше памяти, то это реальная возможность сократить время тестирования в 8 раз

за это можно и стерпеть глюки, тем более VCS он все-таки sign-off

а для того же "супер-sign-off-ного NC" могу привести глючные примеры, которые без проблем работают на квесте

 

сам я предпочитаю отлаживать RTL с квестой (просто мне так удобнее), когда-то была идея, а не гонять ли на ней нетлист - там время получилось ~10 раз хуже NCSIM-а

 

------------------------------------

 

про поддержку SV я написал

http://electronix.ru/forum/index.php?showtopic=57954

 

------------------------------------

 

про документацию - может я не нашел, но где список поддерживаемых квестой SV конструкций?

VCS имеет такой документ + много примеров с описанием (да, одно и то же повторяется в VCS / VCS MX и т.д., но это вообще структура у СОЛДа такая)

 

про ошибки - квеста валится на этапе симуляции, без сообщения где ошибка - есть несколько вариантов исправлений, которые проходят

все мои опыты с VCS вызывают ошибку с указанием строки и достаточно понятным сообщением

http://electronix.ru/forum/index.php?showtopic=57688

 

----------------------------------

 

про + VCS-ного gui можно сказать, что там очень симпатичный схематик вьювер (сделаный так же и использующий видимо ту же библиотеку, что и вьювер design-vision)

я бы сказал, что однозначно лучше квестовского dataflow,

а про NC-шный схематик я уже вообще молчу

 

тем более vcs рисует схематик по каким-то иерархическим связям, а квесте и nc нужна времянка для прорисовки cone

 

-----------------------------------

 

если уже на то пошло, то квестовский гуи смотрится очень "бедно" по сравнению с simvision (ncsim)

меня, например, расстраивает возможность в квесте разукрасить сигнальчики в "любимые" цвета

 

ну и возвращаесь от шашечек к ехать: в большинстве своем тесты при проектировании АЗИКа запускаются из командной строки (это не выпендреж - вдруг у меня соединения компа с сервером только по текстовому терминалу, или я хочу комп выключить, а на сервере пусть крутится процесс под nohup-ом)

то есть можно vcd записать тем же vcs-ом, а смотреть его simvision-ом

квеста, как я понимаю, без gui вообще не пускается

 

===================

 

то есть резюмируя:

 

NC - флагман индустрии, типа микрософтского виндовса, нравится/не нравится, а никуда не денешься (при этом плюсы - очень хорошая среда и достаточно объективная поддержка SV)

 

QUESTA - догоняющий за счет фич (тот же упор на SV) и пользователей FPGA (то есть массовости)

 

VCS - скорость исполнения + какая-то совместимость с DC

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

если VCS работает вдвое быстрее и жрет в 4 раза меньше памяти, то это реальная возможность сократить время тестирования в 8 раз

 

сам я предпочитаю отлаживать RTL с квестой (просто мне так удобнее), когда-то была идея, а не гонять ли на ней нетлист - там время получилось ~10 раз хуже NCSIM-а

 

Итак, делим задачу на две части:

 

1. дебаг первичного RTL, поиск собственных глюков. Тут на первом месте удобство работы с инструментом и мощь его как отладчика.

2. ползанье по углам первично отлаженого и синтезированного RTL, тут главный критерий скрость моделирования нетлиста.

 

------------------------------------

про поддержку SV я написал

http://electronix.ru/forum/index.php?showtopic=57954

 

VMM под квестой пускать можно. Скачивал с ментора VMM для Questa 6.3g Release Notes - VMM 1.0.1 B.

А вот OVM под VCS - это нет.

 

 

 

------------------------------------

 

про документацию - может я не нашел, но где список поддерживаемых квестой SV конструкций?

 

IEEE1800-2005 SystemVerilog specification.

 

 

VCS имеет такой документ + много примеров с описанием (да, одно и то же повторяется в VCS / VCS MX и т.д., но это вообще структура у СОЛДа такая)

 

Ага. Если из всего языка поддерживаешь только небольшое подмножество, то приходится писать такие документы. Раньше это только для компиляторов такие буки издавались о

единственно понятном для них способе описания.

 

про ошибки - квеста валится на этапе симуляции, без сообщения где ошибка - есть несколько вариантов исправлений, которые проходят

все мои опыты с VCS вызывают ошибку с указанием строки и достаточно понятным сообщением

http://electronix.ru/forum/index.php?showtopic=57688

 

есть такая особенность у квесты, валиться молча. Не спорю. Но порой VCS-ные сообщения еще тупее простого молчания. Если vcs не находит файла, он мудро пишет что-то про access denied or license absence

и по первому разу нормальный человек встает в тупик от такого еррор мессаджа. потом привыкаешь.

 

----------------------------------

про + VCS-ного gui можно сказать, что там очень симпатичный схематик вьювер (сделаный так же и использующий видимо ту же библиотеку, что и вьювер design-vision)

я бы сказал, что однозначно лучше квестовского dataflow,

а про NC-шный схематик я уже вообще молчу

 

тем более vcs рисует схематик по каким-то иерархическим связям, а квесте и nc нужна времянка для прорисовки cone

 

Ну если только схематик вьювер ему в плюс записать. Вот только на этапе дебага RTL кода это не самый важный инструмент. Лучше б нормальный редактор сделали.

 

-----------------------------------

 

если уже на то пошло, то квестовский гуи смотрится очень "бедно" по сравнению с simvision (ncsim)

меня, например, расстраивает возможность в квесте разукрасить сигнальчики в "любимые" цвета

 

ну и возвращаесь от шашечек к ехать: в большинстве своем тесты при проектировании АЗИКа запускаются из командной строки (это не выпендреж - вдруг у меня соединения компа с сервером только по текстовому терминалу, или я хочу комп выключить, а на сервере пусть крутится процесс под nohup-ом)

то есть можно vcd записать тем же vcs-ом, а смотреть его simvision-ом

квеста, как я понимаю, без gui вообще не пускается

 

NC вообще ни разу не видел, так что сказать ничего не могу. а квеста-то почему без гуя не пускается?

===================

 

то есть резюмируя:

 

NC - флагман индустрии, типа микрософтского виндовса, нравится/не нравится, а никуда не денешься (при этом плюсы - очень хорошая среда и достаточно объективная поддержка SV)

 

QUESTA - догоняющий за счет фич (тот же упор на SV) и пользователей FPGA (то есть массовости)

 

VCS - скорость исполнения + какая-то совместимость с DC

 

а вы часом не с Большой Ордынки, что так безаппеляционно громоздите Кэйденс на мировое господство?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

про документацию - может я не нашел, но где список поддерживаемых квестой SV конструкций?

 

/questasim/docs/technotes/sysvlog.note

 

Прямо по главам стандарта расписано что поддерживается, а что нет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

я не берусь утверждать, что VCS это "абсолютный" тул, а про "лидерство" NC - просто сложилось такое мнение, в разных странах есть какие-то субподрядчики и пр. соверкеры - все пользуют NC иногда XL (хотя по количеству копий, а особенно пиратских квеста может и выигрывает, все-таки FPGA гораздо массовее АЗИК)

 

тем более, я попробовал какие-то куски проектов специально для того чтобы посмотреть VCS симулятор, а не с реальными задачами

но вобщем-то положительные впечатления пока

 

Итак, делим задачу на две части:

 

1. дебаг первичного RTL, поиск собственных глюков. Тут на первом месте удобство работы с инструментом и мощь его как отладчика.

 

удобство очень субъективное понятие, лично знаю людей, которые vim пользуют, а по развитию проекта - таких очень много

 

2. ползанье по углам первично отлаженого и синтезированного RTL, тут главный критерий скрость моделирования нетлиста.

 

это объективный критерий, и продавцы VCS очень на это напирают.

давно хотел проверить - проверил - не врут

 

VMM под квестой пускать можно. Скачивал с ментора VMM для Questa 6.3g Release Notes - VMM 1.0.1 B.

А вот OVM под VCS - это нет.

 

да, не спорю - VCS поддерживает SV хуже, есть даже v2k конструкции, которые не очень хорошо сделаны (с параметрами)

 

но то что questa полностью

IEEE1800-2005 SystemVerilog specification.

не верю - сам натыкался (6.4c) на нерабочесть некоторых экзамплов из книжек

 

 

Ну если только схематик вьювер ему в плюс записать. Вот только на этапе дебага RTL кода это не самый важный инструмент. Лучше б нормальный редактор сделали.

 

btw: в nc тоже нет встроенного редактора - это же unix world - задал в переменной EDITOR "фейворит едитор" и будет щастье (тот же vim)

я и в квесте или всяких ise не пользую встроеный редактор - назвать то что там прикручено нормальным редактором трудно

 

NC вообще ни разу не видел, так что сказать ничего не могу. а квеста-то почему без гуя не пускается?

 

расскажите как, действительно интересно

у vsim нету такой опции (не знаю)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

расскажите как, действительно интересно

у vsim нету такой опции (не знаю)

 

vsim -с -do run_test.do

 

консольный режим работы квесты

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

тут еще важный момент в стоимости тулзов

про квесту не знаю, но кажется, что порядка 5-10к$ за 1 лицензию

 

у nc раз в 10 дороже, 1 лицензия с нормальным комплектом фич вполне может и 50-100к стоить (это я знаю более-менее точно)

 

VCS слегка подешевле, но обычно они дают скидки от заявленой цены, а если с DC покупать, то вообще вдвое могут скинуть

NC тоже скинуть могут %30 но это уже как повезет

 

 

 

Кстати, как PLL моделировали? Я имею ввиду как описывали - он у вас в модели синхронизируется, перестраивается и т.д.?

 

модель с фабрики

 

там внутри real-ы используются и вообще просто по формуле, пока таймаут на синхронизацию выдает Х

 

иногда эти модели глючат - деление на 0 или тормозить начинают, но в последних проектах такого не встречал

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кстати про плл - ее бывает полезно MX-ом симулить, если CDL-нетлист на нее дают. А обычно дают, ибо без него LVS потом не сделаешь.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

yes, Вы их всех вдоль и поперек изучили, подскажите, у кого лучше всего обстоят дела с OVM?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...